Patterning of narrow porous SiOCH trenches using a TiN hard mask - FMNT - Fédération Micro- et Nano- Technologies Accéder directement au contenu
Article Dans Une Revue Microelectronic Engineering Année : 2008

Patterning of narrow porous SiOCH trenches using a TiN hard mask

Maxime Darnon
D. Eon
  • Fonction : Auteur
R. Bouyssou
  • Fonction : Auteur
N. Posseme
  • Fonction : Auteur
T. David
  • Fonction : Auteur
F. Bailly
  • Fonction : Auteur
J. Torres

Résumé

For the next technological generations of integrated circuits, the traditional challenges faced by etch plasmas (profile control, selectivity, critical dimensions, uniformity, defects, …) become more and more difficult, intensified by the use of new materials, the limitations of lithography, and the recent introduction of new device structures and integration schemes. Particularly in the field of the interconnect fabrication, where dual-damascene patterning is performed by etching trenches and vias in porous low-k dielectrics, the main challenges are in controlling the profile of the etched structures, minimizing plasma-induced damage, and controlling the impact of various types of etch stops and hard mask materials. Metallic hard masks can help thanks to their high selectivity toward low-k materials, and by avoiding low-k exposure to potentially degrading ashing plasmas. In this paper, we will present some key issues related to the patterning of narrow porous SiOCH trenches with a metallic (TiN) hard mask. Narrow trenches (down to 40 nm width) can be opened into TiN with a critical dimensions bias (around 10 nm) attributed to carbon and silicon containing deposits on the photoresist and TiN sidewalls during the etching. Porous SiOCH etching using a TiN hard mask instead of the conventional SiO2 hard mask may lead to severe profile distortions, attributed to TiFx compounds which settle on the trenches sidewalls. A chuck temperature of 60 °C and fluorine-rich plasmas are required to minimize those distortions. An etching process leading to almost straight porous SiOCH profiles presenting a slight bow has been developed. However a wiggling phenomenon has been evidenced for the etching of narrow and deep trenches. This phenomenon is attributed to the highly compressive residual stress in the TiN hard mask, which is released when the dielectric is not mechanically strong enough to withstand it.
Fichier principal
Vignette du fichier
darnon2008.pdf (612.02 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-00387506 , version 1 (19-03-2020)

Licence

Paternité

Identifiants

Citer

Maxime Darnon, T. Chevolleau, D. Eon, R. Bouyssou, B. Pelissier, et al.. Patterning of narrow porous SiOCH trenches using a TiN hard mask. Microelectronic Engineering, 2008, 85 (11), pp.2226-2235. ⟨10.1016/j.mee.2008.06.025⟩. ⟨hal-00387506⟩
173 Consultations
541 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More