J. Mitola, The software Radio Architecture, IEEE Commun. Mag, pp.26-38, 1995.

J. Reed and B. D. Woerner, Software Radio: A Modern Approach to Radio Engineering, 2002.

W. Tuttlebee, Software Defined Radio: Origins, Drivers and International Perspectives, 2002.

A. A. Kountouris, C. Moy, and L. Rambaud, Reconfigurability: A Key Property in Software Radio Systems, Proc. First Karlsruhe Workshop on Software Radios, 2000.

P. Demestichas, G. Vivier, K. El-khazem, and M. Theologou, Evolution in wireless systems management concepts: from composite radio environments to reconfigurability, IEEE Communications Magazine, vol.42, issue.5, 2004.
DOI : 10.1109/MCOM.2004.1299348

A. A. Kountouris and C. Moy, Reconfiguration in Software Radio Systems, Proc. Second Karlsruhe Workshop on Software Radios, 2002.

J. Delahaye, C. Moy, P. Leray, and J. Palicot, Managing Dynamic Partial Reconfiguration on Heterogeneous SDR Platforms, Proc. SDR Forum Technical Conference, 2005.

X. Revés, A. Gelonch, V. Marojevic, and R. Ferrús, Software Radios: Unifying the Reconfiguration Process over Heterogeneous Platforms, EURASIP Journal on Advances in Signal Processing, vol.2005, issue.16, 2005.
DOI : 10.1155/ASP.2005.2626

S. Paquelet, C. Moy, and L. Aubert, RF Front-End Considerations for SDR Ultra-Wideband Communications Systems, RF Design, 2004.

C. R. Anderson, A Software Defined Ultra Wideband Transceiver Testbed for Communications, Ranging, or Imaging, 2007.

J. Delahaye, C. Moy, P. Leray, J. Palicot-]-g, T. Gogniat et al., Partial Reconfiguration of FPGAs for Dynamical Reconfiguration of a Software Radio Platform Reconfigurable Hardware for High-Security/ High-Performance Embedded Systems: The SAFES Perspective, Proc. of IST Mobile and Wireless Communications Summit, pp.144-155, 2007.

J. Bier, Use a Microprocessor, a DSP or both, Proc. Embedded Systems Conference, 2007.

C. Szyperski, Component Software, Beyond Object-Oriented Programming, 1998.

C. Moy, M. Raulet, S. Rouxel, and J. Diguet, UML Profiles for Waveform Signal Processing Systems Abstraction, Proc. of SDR Forum Technical Conference, 2004.

J. Laurent, E. Senn, N. Julien, and E. Martin, Functional level power analysis: an efficient approach for modeling the power consumption of complex processors, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268921

URL : https://hal.archives-ouvertes.fr/hal-00013979

D. Elléouet, Y. Savary, and N. Julien, An FPGA Power Aware Design Flow, Lecture Notes in Computer Science, vol.4148, 2006.
DOI : 10.1007/11847083_40

S. Rouxel, J. Diguet, N. Bulteau, J. Carre-gourdin, J. Goubard et al., UML Framework for PIM and PSM Verification of SDR Systems, Proc. of SDR Forum Technical Conference, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00084148

G. Gaillard, E. Nicollet, M. Sarlotte, and F. Verdier, Transaction Level Modelling of SCA Compliant Software Defined Radio Waveforms and Platforms PIM/PSM, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364418

C. Lucarz, M. Mattavelli, J. Thomas-kerr, and J. Janneck, Reconfigurable Media Coding: A New Specification Model for Multimedia Coders, 2007 IEEE Workshop on Signal Processing Systems, pp.481-486, 2007.
DOI : 10.1109/SIPS.2007.4387595

F. Charot, M. Nyamsi, P. Quinton, and C. Wagner, Architecture Exploration for 3G Telephony Applications Using aHardwareSoftware Prototyping Platform, Proc. of Computer Systems: Architectures, Modeling and Simulation, 2003.

A. G. Kleppe, J. Warmer, and W. Bast, MDA Explained -The Model Driven Architecture : Practice and Promise, 2003.

S. Rouxel, G. Gogniat, J. Diguet, J. Philippe, and C. Moy, Schedulability Analysis and MDD, " in From MDD Concepts To Experiments And Illustrations, pp.111-130, 2006.

S. Lecomte, S. Guillouard, C. Moy, P. Leray, and P. Soulard, A codesign methodology based on Model Driven Architecture for Real Time Embedded systems, Mathematical and Computer Modelling Journal, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00488575

C. Moy, High-Level Design Approach for the Specification of Cognitive Radio Equipments Management APIs Journal of Network and System Management -Special Issue on Management Functionalities for Cognitive Wireless Networks and Systems, 2010.

R. Rabineau, D. Lattard, Y. Durand, M. Lobeira, and J. Rossi, Flexible Test-Bed for B3G Systems, Proc. of IST Mobile and Wireless Communications, 2006.

Y. Durand, C. Bernard, and D. Lattard, FAUST: On-chip distributed architecture for a 4G baseband modem SoC, Design & Reuse IP- SoC, 2005.

V. Bose, Design and Implementation of Software Radio Using a General Purpose Processor, 1999.

T. Turletti and D. Tennenhouse, Complexity of a software GSM base station, IEEE Communications Magazine, vol.37, issue.2, 1999.
DOI : 10.1109/35.747259

A. Hoffmann, H. Meyr, and R. Leupers, Architecture Exploration for Embedded Processors with LISA, 2002.
DOI : 10.1007/978-1-4757-4538-2

P. Coussy, C. Chavet, P. Bomel, D. Heller, E. Senn et al., GAUT: A High-Level Synthesis Tool for DSP Applications, High- Level Synthesis: From Algorithm to Digital Circuit, 2008.
DOI : 10.1007/978-1-4020-8588-8_9

URL : https://hal.archives-ouvertes.fr/hal-00489794

J. Calvez and V. Perrier, SOC Architecting and Design with CoFluent Studio, Concepts and. Methodology -Part I

M. Raulet, F. Urban, J. Nezan, C. Moy, O. Deforges et al., Rapid Prototyping for Heterogeneous Multicomponent Systems: an MPEG-4 Stream Over an UMTS Communication Link, " special issue on Design Methods for DSP Systems of, Eurasip Journal on Applied Signal Processing, pp.1-13, 2006.

J. Muttersbach, T. Villiger, H. Kaeslin, N. Felber, and W. Fichtner, Globally-asynchronous locally-synchronous architectures to simplify the design of on-chip systems, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454), pp.317-321, 1999.
DOI : 10.1109/ASIC.1999.806526

A. Rhiemeier, Benefits and Limits of Parameterized Channel Coding for Software Radio, Proc. of 2nd Karlsruhe Workshop on Software Radios, 2002.

J. Palicot and C. Roland, FFT: a basic function for a reconfigurable receiver, 10th International Conference on Telecommunications, 2003. ICT 2003., pp.898-902, 2003.
DOI : 10.1109/ICTEL.2003.1191527

C. Moy, J. Palicot, V. Rodriguez, and D. Giri, Optimal determination of common operators for multi-standards software-defined radio, Proc. of 4th Karlsruhe Workshop on Software Radios, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00083998

V. Rodriguez, C. Moy, and J. Palicot, Install or invoke?: The optimal trade-off between performance and cost in the design of multi-standard reconfigurable radios, Wireless Communications and Mobile Computing Journal, Special Issue on Cognitive Radio, Software Defined Radio And Adaptive Wireless Systems, pp.1143-1156, 2007.
DOI : 10.1002/wcm.487

URL : https://hal.archives-ouvertes.fr/hal-00182781

J. Delahaye, Plate-Forme Hétérogène Reconfigurable : Applicationà Application`Applicationà la Radio Logicielle, 2007.

T. Grandpierre and Y. Sorel, From algorithm and architecture specifications to automatic generation of distributed realtime executives: a seamless flow of graphs transformations, Proc. of 1st ACM and IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE), pp.123-132, 2003.

M. Raulet, M. Babel, J. Nezan, O. Deforges, and Y. Sorel, Automatic coarse-grain partitioning and automatic code generation for heterogeneous architectures, 2003 IEEE Workshop on Signal Processing Systems (IEEE Cat. No.03TH8682), 2003.
DOI : 10.1109/SIPS.2003.1235689

URL : https://hal.archives-ouvertes.fr/hal-00124965

T. Grandpierre, C. Lavarenne, and Y. Sorel, Optimized rapid prototyping for real-time embedded heterogeneous multiprocessors, Proceedings of the seventh international workshop on Hardware/software codesign , CODES '99, pp.74-78, 1999.
DOI : 10.1145/301177.301489

M. Raulet, Optimisations Mémoire dans la méthodologie adéquation Algorithme Architecture pour Code Embarqué sur Architectures Par-alì eles, 2006.

A. Maccari, J. Nezan, F. Urban, and M. Raulet, Interconnected distributed RAM in SynDEx, Workshop on Design and architectures for Signal and Image Processing, DASIP, 2007.

F. Urban, M. Raulet, J. Nezan, and O. Déforges, Automatic DSP cache memory management and fast prototyping for multiprocessor image applications, 14th European Signal Processing Conference, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00023416

J. Nezan, O. Déforges, and M. Raulet, Fast prototyping methodology for distribued and heterogeneous architectures: application to Mpeg-4 video tools Design Automation for Embedded Systems, 2005.