J. Kilby, Invention of the integrated circuit, IEEE Transactions on Electron Devices, vol.23, issue.7, p.648, 1976.
DOI : 10.1109/T-ED.1976.18467

J. Bardeen and W. Brattain, Three-electrode circuit element utilizing semiconductive materials, U. S. Patent, p.2525035, 1948.

G. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, 1965.
DOI : 10.1109/JPROC.1998.658762

H. Levinson, Principle of lithography, 2001.

M. Rothschild, Projection optical lithography, Materials Today, vol.8, issue.2, p.18, 2005.
DOI : 10.1016/S1369-7021(05)00698-X

URL : http://doi.org/10.1016/s1369-7021(05)00698-x

B. Lin, Optical lithography???present and future challenges, Comptes Rendus Physique, vol.7, issue.8, p.858, 2006.
DOI : 10.1016/j.crhy.2006.10.005

A. Charley, Thèse de doctorat : Conception, montage et caractérisation d'un interférom` etre achromatique pour l'´ etude de la lithographie a immersion a 193 nm, 2006.

M. Switkes, R. Kunz, R. Sinta, and M. Rothschild, Immersion liquids for lithography in the deep ultraviolet, Optical Microlithography XVI, p.690, 2003.
DOI : 10.1117/12.485329

S. Kim, S. Koo, and C. Lim, Double patterning lithography for DRAM. Solid State Technol, 2007.

H. Kang, H. Lim, S. Kim, and . Moon, Positive and negative tone double patterning lithography for 50 nm flash memory, Proc. SPIE, p.615410, 2006.

A. Vanleenhove and D. Van-steenwinckel, A litho-only approach to doubble patterning, Proc. SPIE, p.65302, 2007.

C. Gwyn, R. Stulen, D. Sweeney, and D. Attwood, Extreme ultraviolet lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.6
DOI : 10.1116/1.590453

A. Jouve, Thèse de doctorat : Limitations des résinesrésines`résinesà amplification chimique destinées destinées`destinéesà la réalisation du noeud technologique de 32 nm, 2006.

V. Farys, Thèse de doctorat : Influence des défauts enterrés dans les masques pour la lithographie extrême ultra-violet, 2006.

L. Pain, S. Tedesco, and C. Constancias, Direct write lithography: the global solution for R&D and manufacturing, Comptes Rendus Physique, vol.7, issue.8, p.910, 2006.
DOI : 10.1016/j.crhy.2006.10.003

T. Chang, M. Mankos, K. Lee, and L. Muray, Multiple electron-beam lithography, Microelectronic Engineering, vol.57, issue.58, pp.57-58, 2001.
DOI : 10.1016/S0167-9317(01)00528-7

L. Guo, Recent progress in nanoimprint technology and its applications, Journal of Physics D: Applied Physics, vol.37, issue.11, pp.123-141, 2004.
DOI : 10.1088/0022-3727/37/11/R01

S. Chou, P. Krauss, and P. Renstrom, Imprint of sub???25 nm vias and trenches in polymers, Applied Physics Letters, vol.67, issue.21, p.3114, 1995.
DOI : 10.1063/1.114851

S. Chou, P. Krauss, W. Zhand, L. Guo, and L. Zhuang, Sub-10 nm imprint lithography and applications, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.15, issue.6, p.2897, 1997.
DOI : 10.1116/1.589752

J. Haisma, M. Verheijen, K. Van-den, J. Heuvel, . Van-den et al., Mold-assisted nanolithography: A process for reliable pattern replication, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.6, p.4124, 1996.
DOI : 10.1116/1.588604

M. Colburn, S. Johnson, M. Stewert, S. Damle, T. Bailey et al., Step and flash imprint lithography: a new approach to high-resolution patterning, Emerging Lithographic Technologies III, p.379, 1999.
DOI : 10.1117/12.351155

H. Lee and G. Jung, Full wafer scale near zero residual nano-imprinting lithography using UV curable monomer solution, Microelectronic Engineering, vol.77, issue.1, pp.42-47, 2004.
DOI : 10.1016/j.mee.2004.08.008

Y. Hirai, Polymer Science in Nanoimprint Lithography, Journal of Photopolymer Science and Technology, vol.18, issue.4, pp.551-558, 2005.
DOI : 10.2494/photopolymer.18.551

N. Sakai, J. Taniguchi, K. Kawaguchi, M. Ohtaguchi, and T. Hirasawa, Investigation of Application Availability of UV-NIL by Using Several Types of Photo-curable Resin, Journal of Photopolymer Science and Technology, vol.18, issue.4, pp.531-526, 2005.
DOI : 10.2494/photopolymer.18.531

J. Jeong, Y. Sim, H. Sohn, and E. Lee, Step and repeat UV-nanoimprint lithography using a large area stamp, Emerging Lithographic Technologies IX, pp.227-235, 2005.
DOI : 10.1117/12.598657

M. Bender, A. Fuchs, U. Plachetka, and H. Kurz, Status and prospects of UV-Nanoimprint technology, Microelectronic Engineering, vol.83, issue.4-9, pp.827-830, 2006.
DOI : 10.1016/j.mee.2006.01.220

M. Levenson, N. Visnawathan, and R. Simpson, Improving resolution in photolithography with a phase-shifting mask, IEEE Transactions on Electron Devices, vol.29, issue.12, p.1828, 1982.
DOI : 10.1109/T-ED.1982.21037

J. Choi, K. Nordquist, A. Cherala, L. Casoose, K. Gehoski et al., Distortion and overlay performances of UV step and flash imprint lithography, Microelectron. Eng, pp.78-79, 2005.

C. Martin, R. Engelstad, E. Lovell, D. Resnick, and E. Weisbrod, Prediction of fabrication distortions in step and flash imprint lithography templates, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.6, p.2891, 2002.
DOI : 10.1116/1.1521743

H. Hess, D. Pettibone, D. Adler, K. Bertsche, K. Nordquist et al., Inspection of templates for imprint lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, p.3300, 2004.
DOI : 10.1116/1.1813456

L. Myron, L. Gershtein, G. Gottlied, B. Burkhardt, A. Griffiths et al., Advanced mask metrology enabling characterization of imprint lithography templates, Metrology, Inspection, and Process Control for Microlithography XIX, p.384, 2005.
DOI : 10.1117/12.603718

D. Resnick, L. Myron, E. Thompson, T. Hasebe, T. Tokumoto et al., Direct die-todatabase electron beam inspection of fused silica imprint templates, J. Vac. Sci. Technol. B, issue.6, pp.24-2979, 2006.

W. Dauksher, K. Nordquist, N. Le, K. Gehoski, D. Mancini et al., Repair of step and flash imprint lithography templates, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, pp.22-3306, 2004.
DOI : 10.1116/1.1815300

S. Garidel, M. Zelsmann, P. Voisin, N. Rochat, and P. Michallon, Structure and stability characterization of anti-adhesion self-assembled monolayers formed by vapour deposition for NIL use, Emerging Lithographic Technologies XI, 2007.
DOI : 10.1117/12.711417

F. Houle, E. Guyer, D. Miller, R. Dauskardt, E. Rice et al., Adhesion between template materials and UV-cured nanoimprint resits, Proc. of SPIE, p.61531, 2006.
DOI : 10.1116/1.2746336

M. Bender, M. Otto, B. Hadam, B. Spangenberg, and H. Kurz, Multiple imprinting in UV-based nanoimprint lithography: related material issues, Microelectronic Engineering, vol.61, issue.62, pp.61-62, 2002.
DOI : 10.1016/S0167-9317(02)00470-7

N. Chaix, C. Gourgon, S. Landis, C. Perret, M. Fink et al., Influence of the molecular weight and imprint conditions on the formation of capillary bridges in nanoimprint lithography, Nanotechnology, vol.17, issue.16, p.4082, 2006.
DOI : 10.1088/0957-4484/17/16/015

URL : https://hal.archives-ouvertes.fr/hal-00385747

S. Landis, N. Chaix, D. Hermelin, T. Leveder, and C. Gourgon, Investigation of capillary bridges growth in NIL process, Microelectronic Engineering, vol.84, issue.5-8, p.940, 2007.
DOI : 10.1016/j.mee.2007.01.134

URL : https://hal.archives-ouvertes.fr/hal-00385784

F. Hua, Y. Sun, A. Gaur, M. Meitl, L. Bilhaut et al., Polymer Imprint Lithography with Molecular-Scale Resolution, Nano Letters, vol.4, issue.12, p.2467, 2004.
DOI : 10.1021/nl048355u

T. Komizo, T. Ohshima, K. Chiba, Y. Kikuchi, M. Otaki et al., Evaluation of quartz dry atching profile for the PSM lithography performance, Proc. SPIE, p.223, 2005.

C. Constantine, D. Johnson, R. Westerman, T. Coleman, and T. Faure, Plasma etching of Cr photomasks: parametric comparisons of plasma sources and process conditions, Photomask and X-Ray Mask Technology IV, p.11, 1997.
DOI : 10.1117/12.277267

C. Constantine, R. Westerman, and J. Plumhoff, Plasma etch of binary Cr masks : CD uniformity study of photomasks utilizing varying Cr loads, Proc. SPIE, p.93, 1999.

M. Buie, B. Stoehr, and Y. Huang, Chrome etch for < 0.13 µm advanced reticle production, Proc. SPIE, p.633, 2002.

E. Thompson, P. Rhyins, R. Voisin, S. V. Sreenivasan, and P. Martin, Fabrication of step and flash imprint lithography templates using commercial mask processes, Proc.SPIE, p.1019, 2003.

K. Smith, P. Wasson, W. Mangat, D. Dauksher, and . Resnick, Cr absorber etch process for extreme ultraviolet lithography mask fabrication, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.6, p.2906, 2001.
DOI : 10.1116/1.1414013

D. Resnick, T. Bailey, D. Mancini, K. Nordquist, W. Dauksher et al., New methods for fabricating step and flash imprint lithography templates, Proc.SPIE, p.176, 2002.

W. Dauksher, D. Mancini, K. Nordquist, D. Resnick, D. Standfast et al., Step and flash imprint lithography template characterization, from an etch perspective, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6
DOI : 10.1116/1.1629299

D. Resnick, W. Dauksher, D. Mancini, K. Nordquist, E. Ainley et al., High resolution templates for step and flash imprint lithography, J. Microlith., Microfab., Micorsyst, vol.1, issue.3, p.284, 2002.

D. Resnick, D. Mancini, K. Nordquist, W. Dauksher, I. Mcmackin et al., Initial study of the fabrication of step and flash BIBLIOGRAPHIE imprint lithography templates for the printing of contact holes, J. Microlith., Microfab., Micorsyst, vol.3, issue.2, p.316, 2004.

W. Dauksher, K. Nordquist, D. Mancini, D. Resnick, J. Baker et al., Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.6, p.2857, 2002.
DOI : 10.1116/1.1520575

W. Dauksher, N. Le, E. Ainley, K. Nordquist, K. Gehoski et al., Nano-imprint lithography: Templates, imprinting and wafer pattern transfer, Microelectronic Engineering, vol.83, issue.4-9, p.929, 2006.
DOI : 10.1016/j.mee.2006.01.075

S. Johnson, D. Resnick, D. Mancini, K. Nordquist, W. Dauksher et al., Fabrication of multi-tiered structures on step and flash imprint lithography templates, Microelectronic Engineering, vol.67, issue.68, pp.67-68, 2003.
DOI : 10.1016/S0167-9317(03)00075-3

D. Resnick, D. Mancini, W. Dauksher, K. Nordquist, T. Bailey et al., Improved step and flash imprint lithography templates for nanofabrication, Microelectronic Engineering, vol.69, issue.2-4, p.412, 2003.
DOI : 10.1016/S0167-9317(03)00329-0

K. Nordquist, E. Ainley, D. Mancini, W. Dauksher, K. Gehoski et al., Image placement issues for ITO-based step and flash imprint lithography templates, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.2, p.695, 2004.
DOI : 10.1116/1.1667512

K. Gehoski, D. Resnick, W. Dauksher, K. Nordquist, E. Ainley et al., Indium tin oxide template development for step and flash imprint lithography, Emerging Lithographic Technologies IX, p.986, 2005.
DOI : 10.1117/12.606102

D. Mancini, K. Gehoski, E. Ainley, K. Nordquist, D. Resnick et al., Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.6, p.2896, 2002.
DOI : 10.1116/1.1515311

D. Eon, Thèse de doctorat : Gravure et traitement par plasma de matériaux organosiliciés SiOC(H) pour des applications en lithographie avancée et comme isolant d'interconnexion en microélectronique, 2004.

H. Namatsu, T. Yamaguchi, M. Nagase, K. Yamazaki, and K. Kurihara, Nano-patterning of a hydrogen silsesquioxane resist with reduced linewidth fluctuations, Microelectronic Engineering, vol.41, issue.42, p.331, 1998.
DOI : 10.1016/S0167-9317(98)00076-8

B. Maile, W. Henschel, H. Kurz, and B. Rienks, Sub-10nm linewidth and overlay performance achieved with a fine-tuned EBPG-5000 TFE Electron Beam Lithography system

K. Lister, S. Thoms, D. Macintyre, C. Wilkinson, J. Weaver et al., Direct imprint of sub-10???nm features into metal using diamond and SiC stamps, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, p.3257, 2004.
DOI : 10.1116/1.1825010

L. Mollard, G. Cunge, S. Tedesco, B. Dal-'zotto, and J. Foucher, HSQ hybrid lithography for 20 nm CMOS devices development, Microelectronic Engineering, vol.61, issue.62, pp.61-62, 2002.
DOI : 10.1016/S0167-9317(02)00574-9

URL : https://hal.archives-ouvertes.fr/hal-00475891

J. Penaud, Thèse de doctorat : ContributionsàContributions`Contributionsà la conception etàet`età la réalisation de transistor MOSàMOS`MOSà grille multiple, Université des sciences et technologies de, 2006.

R. Tiron, C. De-nada¨?nada¨?, C. Constancias, J. Robic, and J. Gouy, Fabrication and characterisation of nanoscale programmed defects for EUV lithography, Microelectronic Engineering, vol.83, issue.4-9, p.926, 2006.
DOI : 10.1016/j.mee.2006.01.210

S. Landis, S. Pauliac, J. Saint-pol, C. Gourgon, M. Akita et al., High-resolution hybrid lithography with negative tone chemically amplified resists, Jap. J
DOI : 10.1143/jjap.43.3974

URL : https://hal.archives-ouvertes.fr/hal-00385729

H. Abe, K. Nishioka, S. Tamura, and A. Nishimoto, Microfabrication of Anti-Reflective Chromium Mask by Gas Plasma, Japanese Journal of Applied Physics, vol.15, issue.S1, p.25, 1976.
DOI : 10.7567/JJAPS.15S1.25

H. Nakata, K. Nishioka, and H. Abe, Plasma etching characteristics of chromium film and its novel etching mode, Journal of Vacuum Science and Technology, vol.17, issue.6, p.1351, 1980.
DOI : 10.1116/1.570669

D. Fuard, Thèse de doctorat : Etude et caractérisation avancées des procédés plasma pour les technologies sub-0.1 µm, 2003.

S. Reddy and R. Bonnecaze, Simulation of fluid flow in the step and flash imprint lithography process, Microelectronic Engineering, vol.82, issue.1, pp.60-70, 2005.
DOI : 10.1016/j.mee.2005.06.002

E. Kim, J. Ekerdt, and C. Willson, Importance of evaporation in the design of materials for step and flash imprint lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.4, pp.1515-1520, 2005.
DOI : 10.1116/1.1990162

A. Abdo, S. Schuetter, G. Nellis, A. Wei, R. Engelstad et al., Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6
DOI : 10.1116/1.1825016

C. Decker, Polymérisation sous rayonnement UV. Techniques de l'ingénieur -Dossier AM3044, 2000.

P. Combette and I. Ernoult, Physique des polymères -Tome 1 : Structure, fabrication, emploi. Hermann Editeurs, 2005.

U. Plachetka, M. Bender, A. Fuchs, B. Vratzov, T. Glinsner et al., Wafer scale patterning by soft UV-Nanoimprint Lithography, Microelectronic Engineering, vol.73, issue.74, pp.73-74, 2004.
DOI : 10.1016/S0167-9317(04)00093-0

B. Vratzov, A. Fuchs, M. Lemme, W. Henschel, and H. Kurz, Large scale ultraviolet-based nanoimprint lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, p.2760, 2003.
DOI : 10.1116/1.1627816

F. Bernoux, J. Piel, B. Castellon, C. Defranoux, H. Lecat et al., Ellipsométrie -Théorie, 2003.

K. Nakanishi and P. Solomon, Infrared absorption spectroscopy 2nd Edition, 1977.

K. Wu, T. Bailey, C. Willson, and J. Ekerdt, Surfaces, Langmuir, vol.21, issue.25, pp.11795-11801, 2003.
DOI : 10.1021/la0516330

URL : https://hal.archives-ouvertes.fr/hal-00818070

T. Leveder, Thèse de doctorat préparée au cea-leti : Mesure des propriétés mécaniques de films de polymère ultra minces dans le cadre de la lithographie par nanoimpression, 2008.

J. Szyma´nskiszyma´nski, A. Patkowski, A. Wilk, P. Garstecki, and R. Holyst, Diffusion and Viscosity in a Crowded Environment:?? from Nano- to Macroscale, The Journal of Physical Chemistry B, vol.110, issue.51, pp.25593-25597, 2006.
DOI : 10.1021/jp0666784

C. Li, T. Koga, C. Li, J. Jiang, S. Sharma et al., Viscosity Measurements of Very Thin Polymer Films, Macromolecules, vol.38, issue.12, pp.5144-5151, 2005.
DOI : 10.1021/ma050440g

R. Kunz, S. Palmateer, A. Forte, R. Allen, G. Wallraff et al., Limits to etch resistance for 193-nm single-layer resists, Advances in Resist Technology and Processing XIII, pp.2724-365, 1996.
DOI : 10.1117/12.241835

H. Gokan, S. Esho, and Y. Ohnishi, Dry Etch Resistance of Organic Materials, Journal of The Electrochemical Society, vol.130, issue.1, p.143, 1983.
DOI : 10.1149/1.2119642

H. Gokan, Y. Ohnishi, and K. Saigo, Oxygen ion-beam etch resistance of metal-free and organosilicon resist materials, Microelectronic Engineering, vol.1, issue.4, p.251, 1983.
DOI : 10.1016/0167-9317(83)90015-1

K. Kurihara, A. Egami, and M. Nakamura, Study of organic polymer thin-film etching by plasma beam irradiation, Journal of Applied Physics, vol.98, issue.8, p.84907, 2005.
DOI : 10.1063/1.2113410

L. Ling, X. Hua, X. Li, G. Oehrlein, E. Hudson et al., Investigation of surface modifications of 193 nm and 248 nm photoresist materials during low-pressure plasma etching, The 31st IEEE International Conference on Plasma Science, 2004. ICOPS 2004. IEEE Conference Record, Abstracts., p.2594, 2004.
DOI : 10.1109/PLASMA.2004.1339719

I. Mcmackin, J. Choi, P. Schumaker, V. Nguyen, F. Xu et al., Step and Repeat UV nanoimprint lithography tools and processes, Emerging Lithographic Technologies VIII, p.222, 2004.
DOI : 10.1117/12.538733

E. Kim, N. Stacey, B. Smith, S. Dickey, J. Johnson et al., Vinyl ethers in ultraviolet curable formultations for step and flash imprint lithography, J

S. Murthy, M. Falcon, S. Sreenivasan, and D. Dance, S-FIL technology: cost of ownership case study, Emerging Lithographic Technologies IX, p.964, 2005.
DOI : 10.1117/12.599921

D. Clark and A. Dilks, Esca applied to polymers. XXIII. RF glow discharge modification of polymers in pure oxygen and helium???oxygen mixtures, Journal of Polymer Science: Polymer Chemistry Edition, vol.17, issue.4, p.957, 1979.
DOI : 10.1002/pol.1979.170170404

L. Lianos, D. Parrat, T. Hoc, and T. Duc, x???ray photoelectron spectroscopy studies of polymer surface modifications by a remote oxygen plasma treatment, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.12, issue.4, p.2491, 1994.
DOI : 10.1116/1.579199

L. Shi, L. Wang, and Y. Wang, The investigation of argon plasma surface modification to polyethylene: Quantitative ATR-FTIR spectroscopic analysis, European Polymer Journal, vol.42, issue.7, p.1625, 2006.
DOI : 10.1016/j.eurpolymj.2006.01.007

R. France and R. Shot, Plasma treatment of polymers Effects of energy transfer from an argon plasma on the surface chemistry of poly(styrene), low density poly(ethylene), poly(propylene) and poly(ethylene terephthalate), Journal of the Chemical Society, Faraday Transactions, vol.93, issue.17, pp.93-3171, 1997.
DOI : 10.1039/a702311a

E. Pargon, O. Joubert, S. Xu, and T. Lill, Characterization of resist-trimming processes by quasi in situ x-ray photoelectron spectroscopy, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.4, p.1869, 2004.
DOI : 10.1116/1.1767038

URL : https://hal.archives-ouvertes.fr/hal-00384173

E. Pargon, O. Joubert, T. Chevolleau, G. Cunge, S. Xu et al., Mass spectrometry studies of resist trimming processes in HBr???O[sub 2] and Cl[sub 2]???O[sub 2] chemistries, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.1, p.103, 2005.
DOI : 10.1116/1.1839915

J. Moulder, W. Stickle, P. Sobol, and K. Bomben, Handbook of X-ray Photoelectrons Spectroscopy, 1992.

G. Beamson and D. Briggs, High Resolution XPS of organic polymers, 1992.

P. Gröning, M. Collaud, G. Dietler, and L. Schlapbach, Plasma modification of polymethylmethacrylate and polyethyleneterephthalate surfaces, Journal of Applied Physics, vol.76, issue.2, p.887, 1994.
DOI : 10.1063/1.357765

P. Gröning, O. Küttel, M. Collaud-coen, G. Dietler, and L. Schlapbach, Interaction of low-energy ions (< 10 eV) with polymethylmethacrylate during plasma treatment, Applied Surface Science, vol.89, issue.1, p.83, 1995.
DOI : 10.1016/0169-4332(95)00013-5

J. Hopkins and J. Badyal, XPS and atomic force microscopy of plasma-treated polysulfone, Journal of Polymer Science Part A: Polymer Chemistry, vol.34, issue.8, p.1385, 1996.
DOI : 10.1002/(SICI)1099-0518(199606)34:8<1385::AID-POLA1>3.0.CO;2-#

N. Agarwal, S. Ponoth, J. Plawsky, and P. Persans, Roughness evolution in polyimide films during plasma etching, Applied Physics Letters, vol.78, issue.16, p.2294, 2001.
DOI : 10.1063/1.1364507

S. Kim, S. Woo, and J. Ahn, Plasma on Polyimide Etching, Japanese Journal of Applied Physics, vol.39, issue.Part 1, No. 12B, p.7011, 2000.
DOI : 10.1143/JJAP.39.7011

Q. Wei, W. Gao, D. Hou, and X. Wang, Surface modification of polymer nanofibres by plasma treatment, Applied Surface Science, vol.245, issue.1-4, p.16, 2004.
DOI : 10.1016/j.apsusc.2004.10.013

M. Coen, R. Lehmann, P. Groening, and L. Schlapbach, Modification of the micro- and nanotopography of several polymers by plasma treatments, Applied Surface Science, vol.207, issue.1-4, p.276, 2003.
DOI : 10.1016/S0169-4332(02)01503-9

C. Cardinaud, M. Peignon, and G. Turban, Surface Modification of Positive Photoresist Mask during Reactive Ion Etching of Si and W in SF[sub 6] Plasma, Journal of The Electrochemical Society, vol.138, issue.1, p.284, 1991.
DOI : 10.1149/1.2085556

J. Coulon and G. Turban, An XPS study of photoresist surfaces in SF 6 -O 2 r.f. plasma, Materials Science and Engineering, p.385, 1991.

A. Mahorowala, K. Chen, R. Sooriyakumaran, A. Clancy, D. Murthy et al., Line edge roughness reduction by palsma curing photoresists, Proc. SPIE, p.380, 2005.

M. Kim, D. Shamiryan, Y. Jung, W. Boullart, C. Kang et al., Effects of various plasma pretreatments on 193???nm photoresist and linewidth roughness after etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.6
DOI : 10.1116/1.2366616

H. Kawahira, N. Matsuzawa, E. Matsui, A. Ando, K. Salam et al., Changes of chemical nature of photoresists induced by various plasma treatments and their impact on LWR, Advances in Resist Technology and Processing XXIII, p.615319, 2006.
DOI : 10.1117/12.656002

J. Boussey, Techniques de fabrication des microsystèmes 2 -Chapitre 5 : Technologies d'assemblage de tranches et procédés dérivés, pp.135-183, 2004.

C. Perret, C. Gourgon, F. Lazzarino, J. Tallal, S. Landis et al., Characterization of 8-in. wafers printed by nanoimprint lithography, Microelectronic Engineering, vol.73, issue.74, pp.73-74, 2004.
DOI : 10.1016/S0167-9317(04)00094-2

T. Leveder, S. Landis, L. Davoust, and N. Chaix, Impact of planarization sheet addition on full wafer printing uniformity, MRS Proceedings, vol.961, pp.961-963, 2007.
DOI : 10.1116/1.2130345

F. Kang and S. Zhong-ci, Mathematical theory of elastic structures, 1981.
DOI : 10.1007/978-3-662-03286-2