. Dessin, Ouverture des fichiers films Jobin-Yvon (format .kin et collection de .spe) Comportement dynamique : l'objet accro??taccro??t sa taille en fonction des signatures acquises en temps réel Communication avec les ellipsomètres Jobin-Yvon : acquisition des mesures en temps réel par liaison TCP/IP Montage : découpage et assemblage de morceaux de films. Filtrage : atténuation du bruit de certains canaux. Reconstruction (temps réel ou a posteriori) de l'´ evolution d

. Opengl, the industry's foundation for high performance graphics

. Feuille-de-route-itrs, http://www.itrs.net/Links, 2007.

O. Acher, E. Bigan, and B. Drévillon, Improvements of phase???modulated ellipsometry, Review of Scientific Instruments, vol.60, issue.1, pp.65-77, 1989.
DOI : 10.1063/1.1140580

E. Angerson, Z. Bai, J. Dongarra, A. Greenbaum, A. Mckenney et al., LAPACK: A portable linear algebra library for high-performance computers, Proceedings SUPERCOMPUTING '90, pp.2-11, 1990.
DOI : 10.1109/SUPERC.1990.129995

R. G. Belleman, J. Bédorf, and P. , High performance direct gravitational N-body simulations on graphics processing units II: An implementation in CUDA, New Astronomy, vol.13, issue.2, pp.103-112, 2008.
DOI : 10.1016/j.newast.2007.07.004

. Oliver-deussen-stefan-hiller-benjamin, D. Bustos, and . Keim, A graphics hardware accelerated algorithm for nearest neighbor search, Computational Science ? ICCS 2006, pp.196-199, 2006.

F. Bernoux, Ellipsométrie -théorie, 2003.

S. Boyd and L. Vandenberghe, Convex Optimization, 2008.

I. Buck, N. Govindaraju, M. Harris, J. Kruger, A. Lefohn et al., Gpgpu : General-purpose computation on graphics hardware, Course 32 at ACM SIGGRAPH, 2004.

J. Chandezon, D. Maystre, and G. Raoult, A new theoretical method for diffraction gratings and its numerical application, Journal of Optics, vol.11, issue.4, pp.235-241, 1980.
DOI : 10.1088/0150-536X/11/4/005

Y. Stephen, P. R. Chou, P. J. Krauss, and . Renstrom, Nanoimprint lithography, Journal of vacuum science & technology, vol.14, issue.6, pp.4129-4133, 1996.

P. Craven and G. Wahba, Smoothing noisy data with spline functions, Numerische Mathematik, vol.4, issue.4, pp.377-403, 1979.
DOI : 10.1007/BF01404567

X. Deng, L. Chen, J. Paul, F. Sciortino, F. Liu et al., Nondestructive metrology for nanoimprint processes, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.2, pp.686-689, 2006.
DOI : 10.1116/1.2179457

G. Floquet, Sur leséquationsleséquations différentielles linéaireslinéairesà coefficients périodiques Annales scientifiques de l' ´ Ecole Normale Supérieure, Sér, pp.47-88, 1883.

D. Fuard, C. Perret, V. Farys, C. Gourgon, and P. Schiavone, Measurement of residual thickness using scatterometry, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6, pp.3069-3074, 2005.
DOI : 10.1116/1.2130345

URL : https://hal.archives-ouvertes.fr/hal-00020910

C. G. Galarza, P. P. Khargonekar, F. L. Jr, and . Terry, Real-time estimation of patterned wafer parameters using in situ spectroscopic ellipsometry, Proceedings of the 1999 IEEE International Conference on Control Applications (Cat. No.99CH36328), pp.773-778, 1999.
DOI : 10.1109/CCA.1999.807759

V. Garcia, E. Debreuve, and M. Barlaud, Fast k nearest neighbor search using GPU, 2008 IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, 2008.
DOI : 10.1109/CVPRW.2008.4563100

URL : https://hal.archives-ouvertes.fr/hal-00374684

T. K. Gaylord and M. G. Moharam, Analysis and applications of optical diffraction by gratings, Proceedings of the IEEE, vol.73, issue.5, pp.894-937, 1985.
DOI : 10.1109/PROC.1985.13220

T. K. Gaylord and M. G. Moharam, Analysis and applications of optical diffraction by gratings, Proceedings of the IEEE, pp.894-937, 1985.
DOI : 10.1109/PROC.1985.13220

I. Gereige, S. Robert, S. Thiria, F. Badran, G. Granet et al., Recognition of diffraction-grating profile using a neural network classifier in optical scatterometry, Journal of the Optical Society of America A, vol.25, issue.7, pp.251661-1667, 2008.
DOI : 10.1364/JOSAA.25.001661

URL : https://hal.archives-ouvertes.fr/ujm-00365377

K. Naga, B. Govindaraju, W. Lloyd, M. Wang, D. Lin et al., Fast computation of database operations using graphics processors, SIGGRAPH '05 : ACM SIGGRAPH 2005 Courses, p.206, 2005.

G. Granet, Analysis of diffraction by crossed gratings using a non-orthogonal coordinate system, Pure and Applied Optics: Journal of the European Optical Society Part A, vol.4, issue.6, pp.777-793, 1995.
DOI : 10.1088/0963-9659/4/6/008

G. Granet and B. Guizal, Efficient implementation of the coupled-wave method for metallic lamellar gratings in TM polarization, Journal of the Optical Society of America A, vol.13, issue.5, p.1019, 1996.
DOI : 10.1364/JOSAA.13.001019

W. Gropp, E. Lusk, N. Doss, and A. Skjellum, A high-performance, portable implementation of the MPI message passing interface standard, Parallel Computing, vol.22, issue.6, pp.789-828, 1996.
DOI : 10.1016/0167-8191(96)00024-5

D. William, E. Gropp, and . Lusk, User's Guide for mpich, a Portable Implementation of MPI

M. Hamdorf, D. Johannsmann, and . Erratum, surface-rheological measurements on glass forming polymers based on the surface tension driven decay of imprinted corrugation gratings " [j. chem. phys. [bold 112, The Journal of Chemical Physics, vol.4262, issue.21, pp.1149685-9685, 2000.

J. Hazart, G. Grand, P. Thony, D. Herisson, S. Garcia et al., Spectroscopic ellipsometric scatterometry: sources of errors in critical dimension control, Process and Materials Characterization and Diagnostics in IC Manufacturing, pp.9-20, 2003.
DOI : 10.1117/12.485218

H. Kawahira, Changes of chemical nature of photoresists induced by various plasma treatments and their impact on LWR, Advances in Resist Technology and Processing XXIII, 2006.
DOI : 10.1117/12.656002

J. M. Holden, T. Gubiotti, W. A. Mcgahan, M. V. Dusa, and T. Kiers, Normal-incidence spectroscopic ellipsometry and polarized reflectometry for measurement and control of photoresist critical dimension, Metrology, Inspection, and Process Control for Microlithography XVI, pp.1110-1121, 2002.
DOI : 10.1117/12.473439

J. H. Holland, Adaptation In Natural And Artificial Systems, 1975.

F. Hua, Y. Sun, A. Gaur, M. A. Meitl, L. Bilhaut et al., Polymer Imprint Lithography with Molecular-Scale Resolution, Nano Letters, vol.4, issue.12, pp.2467-2471, 2004.
DOI : 10.1021/nl048355u

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.453.18

. Hsu-ting, J. Huang, . L. Fred, and . Terry, Spectroscopic ellipsometry and reflectometry from gratings (scatterometry) for critical dimension measurement and in situ, real-time process monitoring, Thin Solid Films, pp.455-456828, 2004.

P. J. Huber, Robust Statistics, 1981.
DOI : 10.1002/0471725250

P. R. Johnston and R. M. Gulrajani, Selecting the corner in the L-curve approach to Tikhonov regularization, IEEE Transactions on Biomedical Engineering, vol.47, issue.9, pp.1293-1296, 2000.
DOI : 10.1109/10.867966

H. A. Kramers, La diffusion de la lumiere par les atomes. Transactions of Volta Centenary Congress, pp.545-557, 1927.

R. D. Kronig, On the Theory of Dispersion of X-Rays, Journal of the Optical Society of America, vol.12, issue.6, pp.547-1926, 1917.
DOI : 10.1364/JOSA.12.000547

P. Lalanne and G. Morris, Highly improved convergence of the coupled-wave method for TM polarization, Journal of the Optical Society of America A, vol.13, issue.4, p.779, 1996.
DOI : 10.1364/JOSAA.13.000779

C. L. Lawson, R. J. Hanson, D. R. Kincaid, and F. T. Krogh, Algorithm 539: Basic Linear Algebra Subprograms for Fortran Usage [F1], ACM Transactions on Mathematical Software, vol.5, issue.3, pp.324-325, 1979.
DOI : 10.1145/355841.355848

T. Leveder, S. Landis, and L. Davoust, Imprint time optimization in hot embossing lithography, Emerging Lithographic Technologies XI. Proceedings of the SPIE, 2007.

T. Leveder, S. Landis, and L. Davoust, Reflow dynamics of thin patterned viscous films, Applied Physics Letters, vol.92, issue.1, p.13107, 2008.
DOI : 10.1063/1.2828986

T. Leveder, S. Landis, L. Davoust, and N. Chaix, Optimization of demolding temperature for throughput improvement of nanoimprint lithography, Microelectronic Engineering, vol.84, issue.5-8, pp.953-957, 2007.
DOI : 10.1016/j.mee.2007.01.066

URL : https://hal.archives-ouvertes.fr/hal-00266803

T. Leveder, S. Landis, L. Davoust, S. Soulan, J. Tortai et al., Surface characterization of imprinted resist above glass transition temperature, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.6, pp.2365-2369, 2007.
DOI : 10.1116/1.2799975

URL : https://hal.archives-ouvertes.fr/hal-00680951

T. Leveder, Etude et caractérisation de films nanométriques de polymère. Application a la lithographie par nanoimpression, 2009.

T. Leveder, S. Landis, L. Davoust, S. Soulan, and N. Chaix, Demolding strategy to improve the hot embossing throughput, Emerging Lithographic Technologies XI, p.65170, 2007.
DOI : 10.1117/12.711151

URL : https://hal.archives-ouvertes.fr/hal-00266799

J. Harry and . Levinson, Principles of Lithography. SPIE -The International Society for Optical Engineering, 2005.

L. Li, Multilayer modal method for diffraction gratings of arbitrary profile, depth, and permittivity, Journal of the Optical Society of America A, vol.10, issue.12, p.2581, 1993.
DOI : 10.1364/JOSAA.10.002581

L. Li, Formulation and comparison of two recursive matrix algorithms for modeling layered diffraction gratings, Journal of the Optical Society of America A, vol.13, issue.5, p.1024, 1996.
DOI : 10.1364/JOSAA.13.001024

L. Li, Use of Fourier series in the analysis of discontinuous periodic structures, Journal of the Optical Society of America A, vol.13, issue.9, pp.1870-1876, 1996.
DOI : 10.1364/JOSAA.13.001870

L. Li, New formulation of the Fourier modal method for crossed surface-relief gratings, Journal of the Optical Society of America A, vol.14, issue.10, pp.2758-2767, 1997.
DOI : 10.1364/JOSAA.14.002758

L. Li, J. Chandezon, G. Granet, and J. Plumey, Rigorous and efficient grating-analysis method made easy for optical engineers, Applied Optics, vol.38, issue.2, pp.304-313, 1999.
DOI : 10.1364/AO.38.000304

L. Li and C. W. Haggans, Convergence of the coupled-wave method for metallic lamellar diffraction gratings, Journal of the Optical Society of America A, vol.10, issue.6, pp.1184-1189, 1993.
DOI : 10.1364/JOSAA.10.001184

L. Li and C. W. Haggans, Convergence of the coupled-wave method for metallic lamellar diffraction gratings, Journal of the Optical Society of America A, vol.10, issue.6, p.1184, 1993.
DOI : 10.1364/JOSAA.10.001184

D. Lowe, Distinctive Image Features from Scale-Invariant Keypoints, International Journal of Computer Vision, vol.60, issue.2, pp.91-110, 2003.
DOI : 10.1023/B:VISI.0000029664.99615.94

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.14.4931

G. David and . Lowe, Object recognition from local scale-invariant features, Proc. of the International Conference on Computer Vision ICCV, pp.1150-1157, 1999.

W. R. Mark, R. Steven-glanville, K. Akeley, and M. J. Kilgard, Cg, ACM Transactions on Graphics, vol.22, issue.3, pp.896-907, 2003.
DOI : 10.1145/882262.882362

D. Marshall, Nearest neighbour searching in high dimensional metric space, 2006.

M. and K. Otsuka, Real-time visual tracker by stream processing, Journal of Signal Processing Systems, 2008.

H. L. Maynard, N. Layadi, J. Tseng, and -. Lee, Multiwavelength ellipsometry for real-time process control of the plasma etching of patterned samples, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.15, issue.1, pp.109-115109, 1997.
DOI : 10.1116/1.589234

B. Meyer, Conception et programmation orientées objet, Eyrolles, 2000.

X. Niu, N. Jakatdar, J. Bao, and C. J. Spanos, Specular spectroscopic scatterometry. Semiconductor Manufacturing, IEEE Transactions on, vol.14, issue.2, pp.97-111, 2001.

J. L. Opsal, H. Chu, and J. Leng, Finite difference algorithm in real-time optical CD applications, Metrology, Inspection, and Process Control for Microlithography XVIII, pp.1356-1363, 2004.
DOI : 10.1117/12.556583

J. L. Opsal, H. Chu, Y. Wen, Y. Chang, and G. Li, Fundamental solutions for real-time optical CD metrology, Metrology, Inspection, and Process Control for Microlithography XVI, pp.163-176, 2002.
DOI : 10.1117/12.473448

J. D. Owens, D. Luebke, N. Govindaraju, M. Harris, J. Krüger et al., A Survey of General-Purpose Computation on Graphics Hardware, Computer Graphics Forum, vol.7, issue.4, pp.80-113, 2007.
DOI : 10.1016/j.rti.2005.04.002

F. Richard, P. , L. Robert, B. , and S. Matthew, Le cours de physique de Feynman : ´ electromagnétisme, 1979.

D. M. Pai and K. A. Awada, Analysis of dielectric gratings of arbitrary profiles and thicknesses, Journal of the Optical Society of America A, vol.8, issue.5, p.755, 1991.
DOI : 10.1364/JOSAA.8.000755

E. Pargon, Analyse des mécanismes mis en jeu lors de l'´ elaboration par gravure plasma de structures de dimensions deca-nanométriques : Application au transistor CMOS ultime, 2004.

W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in Fortran, 1992.

R. Quintanilha, Etude duprobì eme inverse en diffractométrie spectroscopique, 2005.

R. Benferhat, Design of new in situ spectroscopic phase modulated ellipsometer. Le Vide, les couches minces, pp.47264-273, 1991.

A. Rathsfeld, G. C. Hsiao, and J. Elschner, Grating Profile Reconstruction Based on Finite Elements and Optimization Techniques, SIAM Journal on Applied Mathematics, vol.64, issue.2, pp.525-545, 2004.
DOI : 10.1137/S0036139902420018

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.90.2608

L. Rayleigh, On the Dynamical Theory of Gratings, Proceedings of the Royal Society of London. Series A, Containing Papers of a Mathematical and Physical Character, pp.79399-416, 1905.
DOI : 10.1098/rspa.1907.0051

C. J. Raymond, M. E. Littau, A. Chuprin, and S. Ward, Comparison of solutions to the scatterometry inverse problem, Metrology, Inspection, and Process Control for Microlithography XVIII, pp.564-575, 2004.
DOI : 10.1117/12.538662

C. J. Raymond, M. E. Littau, A. Chuprin, and S. Ward, Comparison of solutions to the scatterometry inverse problem, Metrology, Inspection, and Process Control for Microlithography XVIII, pp.564-575, 2004.
DOI : 10.1117/12.538662

H. Schift, Nanoimprint lithography: An old story in modern times? A review, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.26, issue.2, p.458, 2008.
DOI : 10.1116/1.2890972

U. Schmitt and A. Louis, Efficient algorithms for the regularization of dynamic inverse problems: I. Theory, Inverse Problems, vol.18, issue.3, pp.645-658, 2002.
DOI : 10.1088/0266-5611/18/3/308

U. Schmitt, A. Louis, C. Wolters, and M. Vauhkonen, Efficient algorithms for the regularization of dynamic inverse problems: II. Applications, Inverse Problems, vol.18, issue.3, pp.659-676, 2002.
DOI : 10.1088/0266-5611/18/3/309

D. Sjöberg, C. Engström, G. Kristensson, D. J. Wall, and N. Wellander, A Floquet--Bloch Decomposition of Maxwell's Equations Applied to Homogenization, Multiscale Modeling & Simulation, vol.4, issue.1, 2003.
DOI : 10.1137/040607034

S. Soulan, M. Besacier, T. Leveder, and P. Schiavone, In-line etching process control using dynamic scatterometry, Modeling Aspects in Optical Metrology, 2007.
DOI : 10.1117/12.726197

URL : https://hal.archives-ouvertes.fr/hal-00168934

R. Stephens, A survey of stream processing, Acta Informatica, vol.34, issue.7, pp.491-541, 1997.
DOI : 10.1007/s002360050095

A. Tikhonov and V. Arsenin, Solution of Ill-posed Problems, 1977.

P. Trancoso and M. Charalambous, Exploring Graphics Processor Performance for General Purpose Applications, 8th Euromicro Conference on Digital System Design (DSD'05), pp.306-313, 2005.
DOI : 10.1109/DSD.2005.40

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.90.8310

F. Xu and K. Mueller, Accelerating popular tomographic reconstruction algorithms on commodity pc graphics hardware, Nuclear Science IEEE Transactions on, vol.52, issue.3, pp.654-663, 2005.