L. Favennec, V. Jousseaume, G. Gerbaud, A. Zenasni, and G. Passemard, Ultralow k using a plasma enhanced chemical vapor deposition porogen approach: Matrix structure and porogen loading influences, Journal of Applied Physics, vol.102, issue.6, p.64107, 2007.
DOI : 10.1063/1.2783963

J. Bardeen and W. Brattain, The Transistor, A Semiconductor Triode, Proceedings of the IEEE, vol.86, issue.1, p.230, 1948.
DOI : 10.1109/JPROC.1998.658753

M. Gordon and E. , Cramming more components onto integrated circuits, pp.114-117, 1965.

G. E. Moore, Progress in digital integrated electronics, IEEE Text Speech, 1975.

A. Sinha, C. Jr, J. Levinstein, and H. , Speed limitations due to interconnect time constants in VLSI integrated circuits, Electron Device Letters, pp.90-92, 1982.

S. Jeng, M. Chang, and R. Havemann, Process integration and manufacturing issues for high performance interconnect, MRS Symp. Proc. Adv. Metallization for Devices and Circuits, pp.25-31, 1994.

C. Kittel, Introduction to Solid State Physics, 1986.

C. J. Böttcher and P. Bordewijk, Theory of Electric Polarization, Journal of The Electrochemical Society, vol.121, issue.6, 1978.
DOI : 10.1149/1.2402382

A. K. Jonscher, Dielectric relaxation in solids, Journal of Physics D: Applied Physics, vol.32, issue.14, 1984.
DOI : 10.1088/0022-3727/32/14/201

W. Kingery, H. Bowen, and D. Uhlmann, Introduction to Ceramics, Journal of The Electrochemical Society, vol.124, issue.3, 1976.
DOI : 10.1149/1.2133296

G. Niklasson, C. Granqvist, and O. Hunderi, Effective medium models for the optical properties of inhomogeneous materials, Applied Optics, vol.20, issue.1, pp.26-30, 1981.
DOI : 10.1364/AO.20.000026

V. Jousseaume, G. Rolland, D. Babonneau, and J. Simon, Structural study of nanoporous ultra low-k dielectrics using complementary techniques: Ellipsometric porosimetry, X-ray reflectivity and grazing incidence small-angle X-ray scattering, Applied Surface Science, vol.254, issue.2, pp.473-479, 2007.
DOI : 10.1016/j.apsusc.2007.06.043

URL : https://hal.archives-ouvertes.fr/hal-00206321

A. C. Adams, Plasma deposition of inorganic films, Solid State Technology, pp.135-139, 1983.

J. Batey and E. Tierney, Low???temperature deposition of high???quality silicon dioxide by plasma???enhanced chemical vapor deposition, Journal of Applied Physics, vol.60, issue.9, p.3136, 1986.
DOI : 10.1063/1.337726

L. C. Klein, Sol-gel technology for thin films, fibers, preforms, electronics and specialty shapes, 1987.

G. Maier, A. G. Polymaterials, and G. Kaufbeuren, The search for low and ultra low dielectrics: how far can you get with polymers ?, Electrical Insulation Magazine, IEEE, vol.20, issue.2, pp.6-17, 2004.

P. H. Townsend, S. J. Martin, and J. Godschalx, Silk Polymer Coating with Low Dielectric Constant and High Thermal Stability for Ulsi Interlayer Dielectric, Material Research Society Symposium Proceeding, p.9, 1997.
DOI : 10.1002/pen.10635

O. Nuyken, M. B. Leitner, and G. Maier, Cationic polymerization of bis(1- alkylvinyl)benzenes and related monomers-synthesos of monomers with long alkyl side chains, Die Makromolekulare Chemie, vol.192, issue.12, p.3071, 1991.
DOI : 10.1002/macp.1991.021921222

L. Baud, G. Passemard, Y. Gobil, H. Saad, A. Corte et al., Integration of a stack of two flourine doped silicon oxide thin films with interconnect metallization for a sub-0.35 µm inter-metal dielectric application, Microelectronic Engineering, vol.37, issue.38, pp.261-269, 1997.

G. G. Raju, Dielectrics in electric fields, 2003.
DOI : 10.1201/9780203912270

L. Han, J. Pan, S. Chen, N. Balasubramanian, J. Shi et al., Characterization of Carbon-Doped SiO[sub 2] Low k Thin Films: Preparation by Plasma-Enhanced Chemical Vapor Deposition from Tetramethylsilane, Journal of The Electrochemical Society, vol.148, issue.7, p.148, 2001.
DOI : 10.1149/1.1375797

Z. Wu, Z. Shiung, C. Chiang, W. Wu, M. Chen et al., Physical and Electrical Characteristics of Methylsilane- and Trimethylsilane-Doped Low Dielectric Constant Chemical Vapor Deposited Oxides, Journal of The Electrochemical Society, vol.148, issue.6, p.127, 2001.
DOI : 10.1149/1.1369373

Y. Kim, S. Lee, and H. Kim, Si???O???C???H composite films prepared by plasma-enhanced chemical vapor deposition using bis-trimethylsilylmethane precursor, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.18, issue.4, p.1216, 2000.
DOI : 10.1116/1.582328

P. Gonon, A. Sylvestre, H. Meynen, V. Cotthem, and L. , Permittivity and Conductivity of Low-Dielectric-Constant SiOC:H Films Deposited by Plasma-Enhanced Chemical Vapor Deposition, Journal of The Electrochemical Society, vol.150, issue.3, p.47, 2003.
DOI : 10.1149/1.1545467

J. Leu, T. Tu, and P. Ho, Material research society fall meeting tutorial: low materials, Material Research Society Symposium Proceeding, 1996.

S. Y. Chang, T. J. Chou, and Y. C. Lu, Curing Process Window and Thermal Stability of Porous MSQ-Based Low-Dielectric-Constant Materials, Journal of The Electrochemical Society, vol.151, issue.6, pp.146-52, 2004.
DOI : 10.1149/1.1731520

L. L. Chapelon, V. Arnal, M. Broekaart, L. G. Gosset, J. Vitiello et al., Characterization and integration of a CVD porous SiOCH (k<2.5) with enhanced mechanical properties for 65 nm CMOS interconnects and below, Microelectronic Engineering, vol.76, issue.1-4, pp.1-7, 2004.
DOI : 10.1016/j.mee.2004.07.012

L. Li, Y. Lu, H. Lu, Y. Yang, C. Lin et al., Advanced 300 mm cu/CVD LK(k=2.2) mulilevel damascene integration for 90/65 nm generation BEOL interconnect technologies, IEEE Symposium on VLSI Technology. Digest of technical papers, pp.105-106, 2003.
DOI : 10.1109/vlsit.2003.1221108

V. Jousseaume, J. Beynet, B. Rémiat, F. Gaillard, G. Lumia et al., Total porogen extraction by supercritical carbon dioxide to produce porous ULK thin film, Advanced Metallization Conference proceedings, pp.317-323, 2005.

J. Beynet, V. Jousseaume, A. Madec, B. Remiat, R. Mercier et al., Supercritical carbon dioxide process to improve dielectric and mechanical properties of porous ULK thin films, Material Research Society Symposium Proceeding, pp.2-08, 2006.

V. Jousseaume, P. Maury, and G. Passemard, H 2 plasma as porogen removal treatment to perform porous ULK, in Meet, Abstr. -Electrochem. Soc, vol.604, p.370, 2006.

A. Zenasni, V. Jousseaume, O. Gourhant, L. Favennec, and P. Maury, Porosity generation using hydrogen plasma assisted thermal curing for ultra low k material, Microelectronic Engineering, vol.85, issue.10
DOI : 10.1016/j.mee.2008.04.044

URL : https://hal.archives-ouvertes.fr/hal-00466188

C. Waldfried, Q. Han, O. Escorcia, A. Margolis, R. Albano et al., Single wafer RapidCuring T M of porous low-k materials, Interconnect Technology Conference, Proceedings of the IEEE, pp.226-228, 2002.

A. Zenasni, B. Remiat, C. Waldfried, L. Cornec, C. Jousseaume et al., Thermal furnace and Ultraviolet assisted curing impact on SiOCH spin-on ultra low dielectric constant materials, Thin Solid Films, vol.516, issue.6, pp.1097-1103, 2008.
DOI : 10.1016/j.tsf.2007.05.010

V. Jousseaume, A. Zenasni, L. Favennec, G. Gerbaud, M. Bardet et al., Comparison Between E-beam and Ultraviolet Curing to Perform Porous a-SiOC:H, Journal of The Electrochemical Society, vol.154, issue.5, p.103, 2007.
DOI : 10.1149/1.2667980

URL : https://hal.archives-ouvertes.fr/hal-00206318

E. Mickler, C. T. Lin, A. Krishnan, C. Jin, and M. Jain, A charge damage study using an electron beam low k treatment, Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729), p.190, 2004.
DOI : 10.1109/IITC.2004.1345740

J. Hedrick, R. Miller, C. Hawker, K. Carter, W. Volksen et al., Templating Nanoporosity in Thin-Film Dielectric Insulators, Advanced Materials, vol.10, issue.13, pp.1049-1053, 1998.
DOI : 10.1002/(SICI)1521-4095(199809)10:13<1049::AID-ADMA1049>3.0.CO;2-F

A. Grill and D. Neumayer, Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization, Journal of Applied Physics, vol.94, issue.10, p.6697, 2003.
DOI : 10.1063/1.1618358

S. Gates, A porous SiCOH dielectric with k=2.4 for high performance BEOL interconnects, ADMETA proceeding, 2006.

L. Favennec, V. Jousseaume, V. Rouessac, J. Durand, and G. Passemard, Ultra low K PECVD porogen approach: matrix precursors comparison and porogen removal treatment study, Materials, Technology and Reliability for Advanced Interconnects?2005, pp.49-54, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00077998

R. Vrtis, M. Neill, J. Vicent, A. Lukas, and B. Peterson, Plasma Enhanced Chemical Vapor Deposition of Porous Organosilicate Glass ILD Films With k< 2, Material Research Society Symposium Proceeding, 2003.

S. Bilodeau, Porogen precursors for ULK (K<2.2) PECVD dielectrics, Advanced Metalization Conference Proceeding, 2006.

M. Baklanov, C. Jehoul, R. Gore, D. Gronbeck, G. Prokopowicz et al., Properties of mesoporous low-k MSSQ film prepared using macromolecular porogen, Proc. MRS Advanced Metallization Conference, 2001.

V. Rouessac, L. Favennec, B. Rémiat, V. Jousseaume, G. Passemard et al., Crosslinking impact of mesoporous MSQ films used in microelectronic interconnections on mechanical properties, Thin solid films, vol.495, pp.124-129, 2006.

Y. Cheng, B. We, M. O-'neil, and E. Karwacki, The effect of deposition temperature on the structure and electrical properties of low-k film using Diethoxymethylsilane (DEMS) prepared by plasma enhanced chemical vapor deposition, Thin Solid Films, vol.516, issue.2-4, pp.2-4, 2007.
DOI : 10.1016/j.tsf.2007.08.070

A. Grill, interconnect materials, Journal of Applied Physics, vol.93, issue.3, p.1785, 2003.
DOI : 10.1063/1.1534628

M. Shinriki, N. Tajima, T. Hamada, T. Ohno, K. Yoneda et al., Si-C 2 H 4 -Si network formation for improvement of PECVD SiOC:H film properties, pp.106-113, 2006.

D. R. Anderson, Analysis of Silicones, 1974.

F. Ciaramella, V. Jousseaume, S. Maitrejean, M. Verdier, B. Rémiat et al., Crosslinking impact of mesoporous MSQ films used in microelectronic interconnections on mechanical properties, Thin Solid Films, vol.495, issue.1-2, pp.124-129, 2006.
DOI : 10.1016/j.tsf.2005.08.291

URL : https://hal.archives-ouvertes.fr/hal-00140224

C. T. Chua, G. Sarkar, and X. Hu, In Situ Characterization of Methylsilsesquioxane Curing, Journal of The Electrochemical Society, vol.145, issue.11, pp.4007-4011, 1998.
DOI : 10.1149/1.1838905

J. Y. Kim, M. S. Hwang, Y. H. Kim, H. J. Kim, and Y. Lee, Origin of low dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapor deposition, Journal of Applied Physics, vol.90, issue.5, p.2469, 2001.
DOI : 10.1063/1.1388861

R. Dauskardt, Low-k dielectrics -nanostructures and process effects on reliability, Material Research Society Symposium Proceeding, 2007.

A. Beer, Einleitung in die höhere, Optik, p.1882

W. A. Pliskin, Semiconductor silicon, 1973.

J. N. Cox, J. Ren, J. Van-horn, and K. W. Kwok, Electrochemichal society, inc, Extended abstracts, 1992.

C. Rau and W. Kulisch, Mechanisms of plasma polymerization of various silico-organic monomers, Thin solid films, pp.28-37, 1994.

G. Lucovsky, Preparation of device-quality SiO2 thin films by remote plasma-enhanced chemical vapour deposition (PECVD): Applications in metal-oxide-semiconductor (MOS) devices, Advanced Materials for Optics and Electronics, vol.6, issue.2, pp.55-72, 1996.
DOI : 10.1002/(SICI)1099-0712(199603)6:2<55::AID-AMO226>3.0.CO;2-J

S. Mukherjee and P. Evans, The deposition of thin films by the decomposition of tetra-ethoxy silane in a radio frequency glow discharge, Thin Solid Films, vol.14, issue.1, pp.105-118, 1972.
DOI : 10.1016/0040-6090(72)90373-2

P. J. Launer, Silicone compounds register and review, 1987.

A. Castex, Vers les matériaux à très faible constante diélectrique: étude des mécanismes réactionnels des dépôts plasma d'organosiliciés, thèse de l'Institut National Polytechnique de Grenoble, 2005.

M. Matsuura, K. Goto, N. Miura, S. Hashii, and K. Asai, Film Characterization of Ultra Low-k Dielectrics Modified by UV Curing with Different Wavelength Bands, Material Research Society Symposium Proceeding, pp.1-06, 2006.
DOI : 10.1557/PROC-0914-F01-06

A. Grill, D. Edelstein, D. Restaino, M. Lane, S. Gates et al., Optimization of SiCOH dielectrics for integration in a 90nm CMOS technology, Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729), pp.54-56, 2004.
DOI : 10.1109/IITC.2004.1345682

R. Koropecki, F. Alvarez, and R. Arce, ???SiC:H, Journal of Applied Physics, vol.69, issue.11, p.7805, 1991.
DOI : 10.1063/1.347509

A. Zenasni, V. Jousseaume, P. Holliger, L. Favennec, O. Gourhant et al., The role of ultraviolet radiation during ultralow k films curing: Strengthening mechanisms and sacrificial porogen removal, Journal of Applied Physics, vol.102, issue.9, p.94107, 2007.
DOI : 10.1063/1.2805451

URL : https://hal.archives-ouvertes.fr/hal-00463070

R. Weast, M. Astle, and W. Beyer, CRC Handbook of chemistry and physics, Boca Raton, 1987.

F. Ito, T. Takeuchi, and Y. Hayashi, Improvement of Mechanical Properties of Porous SiOCH films by Post-cure Treatments, Proc. MRS Advanced Metallization Conference, 2005.

A. Padovani, L. Rhodes, S. Allen, and P. Kohl, Chemically Bonded Porogens in Methylsilsesquioxane, Journal of The Electrochemical Society, vol.149, issue.12, p.161, 2002.
DOI : 10.1149/1.1515281

P. Mabboux and K. Gleason, Chemical Bonding Structure of Low Dielectric Constant Si:O:C:H Films Characterized by Solid-State NMR, Journal of The Electrochemical Society, vol.152, issue.1, p.7, 2005.
DOI : 10.1149/1.1830353

Q. Liu, W. Shi, F. Babonneau, and L. Interrante, Synthesis of Polycarbosilane/Siloxane Hybrid Polymers and Their Pyrolytic Conversion to Silicon Oxycarbide Ceramics, Chemistry of Materials, vol.9, issue.11, pp.2434-2441, 1997.
DOI : 10.1021/cm970316e

F. Iacopi, Z. Tokei, Q. Le, D. Shamiryan, T. Conard et al., dielectrics by physical vapor deposition Ta(N) thin films, Journal of Applied Physics, vol.92, issue.3, p.1548, 2002.
DOI : 10.1063/1.1487907

S. Lim, Y. Shimogaki, Y. Nakano, K. Tada, and H. Komiyama, films by plasma enhanced chemical vapor deposition, Applied Physics Letters, vol.68, issue.6, p.832, 1996.
DOI : 10.1063/1.116548

S. Han and E. Aydil, Reasons for lower dielectric constant of fluorinated SiO2 films, Journal of Applied Physics, vol.83, issue.4, p.2172, 1998.
DOI : 10.1063/1.366955

K. Postava, T. Yamaguchi, and M. Horie, Estimation of the dielectric properties of low-k materials using optical spectroscopy, Applied Physics Letters, vol.79, issue.14, p.2231, 2001.
DOI : 10.1063/1.1408607

T. Fukuda, N. Aoi, and H. Matsunaga, Novel Method of Estimating Dielectric Constant for Low-k Materials, Japanese Journal of Applied Physics, vol.41, issue.Part 2, No. 3B, pp.307-310, 2002.
DOI : 10.1143/JJAP.41.L307

G. Barrow, Introduction to Molecular Spectroscopy, 1962.

F. Rouquerol, J. Rouquerol, and K. Sing, Adsorption by Powders and Porous Solids: Principles, Methodology and Applications, 1999.

W. Thomson, On the equilibrium of vapor pressure at a curved surface of liquid, Proc. Roy. Soc. Edinb, pp.63-68, 1870.

M. Dubinin and L. Radushkevich, Some new tour parameter models for moisture sorption isotherms, Dokl. Akad. Nauk SSSR, vol.55, p.327, 1947.

E. Andrew, A. Bradbury, and R. Eades, Nuclear Magnetic Resonance Spectra from a Crystal rotated at High Speed, Nature, vol.2, issue.4650, p.1659, 1958.
DOI : 10.1103/PhysRev.74.1168

A. Bennett, C. Rienstra, M. Auger, K. Lakshmi, and R. Griffin, Heteronuclear decoupling in rotating solids, The Journal of Chemical Physics, vol.103, issue.16, p.6951, 1995.
DOI : 10.1063/1.470372

M. Mehring, Principles of high resolution NMR in solids, 1983.
DOI : 10.1007/978-3-642-68756-3

S. Hartmann and E. Hahn, Nuclear Double Resonance in the Rotating Frame, Physical Review, vol.128, issue.5, pp.2042-2053, 1962.
DOI : 10.1103/PhysRev.128.2042

X. Li and B. Bhushan, A review of nanoindentation continuous stiffness measurement technique and its applications, Materials Characterization, vol.48, issue.1, pp.11-36, 2002.
DOI : 10.1016/S1044-5803(02)00192-4

N. Jennett and A. Bushby, Adaptive Protocol for Robust Estimates of Coatings Properties by Nanoindentation, Material Research Society Symposium Proceeding, pp.73-78, 1999.
DOI : 10.1557/PROC-695-L3.1.1