J. S. Kilby, Invention of the integrated circuit, IEEE Transactions on Electron Devices, vol.23, issue.7, pp.648-654, 1976.
DOI : 10.1109/T-ED.1976.18467

G. E. Moore, Cramming More Components onto Integrated Circuits". Electronics, pp.114-117, 1965.
DOI : 10.1109/jproc.1998.658762

G. E. Moore, Progress in digital integrated electronics". International Electron Devices Meeting Ed, IEEE, pp.11-13, 1975.

M. Lapedus, Debate rages over 450mm wafer fabs". Eetimes, 2006.

C. A. Mack, The end of the semiconductor industry as we know it Conference on Emerging Lithographic Technologies VII, 2003.

S. David, Le mix énergétique -Quelles sources d'énergie d'ici 2050 ?, Colloque Energie & Climat, 2005.

J. M. Jancovici, Available from: http://www.manicore.com

A. K. Sinha, J. A. Cooper, J. , and H. J. Levinstein, Speed limitations due to interconnect time constants in VLSI integrated circuits". Electron Device Letters, IEEE, vol.3, issue.4, pp.90-92, 1982.

M. T. Bohr, Interconnect scaling -The real limiter to high performance ULSI" 1995 International Electron Devices Meeting, 1995.

S. Y. Wu, B. K. Liew, K. L. Young, C. H. Yu, and S. C. Sun, Analysis of interconnect delay for 0.18 um technology and beyond, IEEE International Conference, 1999.

D. R. Lide, . K. Böttcher, and . Jonscher, 13. P. ArnaudCours de Chimie Physique Paris: Dunod. 14. R. FitzpatrickAdvanced Classical Elecromagnetism University of Texas at Austin. 1996. 15. CTheory of electronic polarizationDielectrics relaxation in solidsLow dielectric constant materials for microelectronics, Journal of Applied Physics, issue.11, pp.93-8793, 1973.

R. Hoofman, G. Verheijden, J. Michelon, F. Iacopi, Y. Travaly et al., Challenges in the implementation of low-k dielectrics in the back-end of linePhysics of semiconductor devices, 1981.

J. C. Alonso, On the contributions of the electronic polarizability and porosity to the reduction of the refractive index of SiOF films deposited by remote plasma-enhanced chemical vapour deposition". Thin Solid Films, pp.474-294, 2005.

H. Yang and G. Lucovsky, Stability Of Silicon-Oxygen-Fluorine And Carbon-Fluorine LOW-K DIELECTRICS WITH RESPECT TO ATTACK BY WATER, 4th Symposium on Low Dielectric Constant Materials for Microelectronics Applications, 1998.
DOI : 10.1063/1.1726470

H. Yang and G. Lucovsky, Stability of Si-O-F low-K dielectrics: Attack by water molecules as function of near-neighbor Si-F bonding arrangements" 44th National Symposium of theCrosslinking impact of mesoporous MSQ films used in microelectronic interconnections on mechanical properties". Thin Solid Films, pp.495-124, 1997.

V. Jousseaume, A. Zenasni, L. Favennec, G. Gerbaud, M. Bardet et al., Comparison between e-beam and ultraviolet curing to perform porous a-SiOC, Journal of the Electrochemical Society, issue.5, pp.154-103, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00206318

G. Bersuker, M. J. Shapiro, J. Werking, and S. Kim, <title>Electrical characterization of FSG low K dielectric deposition in HDP and PECVD tools</title>, Process, Equipment, and Materials Control in Integrated Circuit Manufacturing III, 1997.
DOI : 10.1117/12.284639

Y. L. Cheng, Y. L. Wang, C. W. Liu, Y. L. Wu, K. Y. Lo et al., Characterization and reliability of low dielectric constant fluorosilicate glass and silicon rich oxide process for deep sub-micron device application, 28th International Conference on Metallurgical Coatings and Thin Films, 2001.
DOI : 10.1016/S0040-6090(01)01314-1

G. Passemard, P. Fugier, P. Noel, F. Pires, and O. Demolliens, Study of fluorine stability in fluoro-silicate glass and effects on dielectric properties, Proceedings of the Symposium J on Advanced Materials for Interconnections of the 1996 E-MRS Spring Meeting Conference, 1997.
DOI : 10.1016/S0167-9317(96)00062-7

R. N. Vrtis, Plasma enhanced chemical vapour deposition of porous organosilicate glass ILD films with k<2, MRS Proceedings, p.766, 2003.

G. A. Niklasson, C. G. Granqvist, and O. Hunderi, Effective medium models for the optical properties of inhomogeneous materials, Applied Optics, vol.20, issue.1, pp.26-30, 1981.
DOI : 10.1364/AO.20.000026

L. C. Klein, Sol-gel technology for thin films, fibers, preforms, electronics and specialty shapes". 1987: Noyes Publications. 32. A. GrillNovel low-k dual-phase material prepared by PECVD, MRS Proceedings, p.612, 2000.

A. Zenasni, V. Jousseaume, P. Holliger, L. Favennec, O. Gourhant et al., The role of ultraviolet radiation during ultralow k films curing: Strengthening mechanisms and sacrificial porogen removal, Journal of Applied Physics, vol.102, issue.9, pp.94107-94115, 2007.
DOI : 10.1063/1.2805451

URL : https://hal.archives-ouvertes.fr/hal-00463070

J. L. Hedrick, R. D. Miller, C. J. Hawker, C. K. , W. Volksen et al., Templating Nanoporosity in Thin-Film Dielectric Insulators, pp.1049-1053, 1998.

A. Grill, V. Patel, and S. Gates, 36. S. GatesA porous SiCOH dielectric with k=2.4 for high performance BEOL interconnects". ADMETA proceeding, 2001.

A. Grill and D. A. Neumayer, Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization, Journal of Applied Physics, vol.94, issue.10, pp.94-6697, 2003.
DOI : 10.1063/1.1618358

L. Favennec, V. Jousseaume, V. Rouessac, J. Durand, and G. Passemard, Ultra low K PECVD porogen approach: matrix precursors comparison and porogen removal treatment study". Materials, Technology and Reliability for Advanced Interconnects, pp.683-732, 2005.
DOI : 10.1063/1.2783963

URL : https://hal.archives-ouvertes.fr/hal-00077998

N. Posseme, T. Chevolleau, O. Joubert, L. Vallier, and N. Rochat, Etching of porous SiOCH materials in fluorocarbon-based plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, pp.2772-2784, 2004.
DOI : 10.1116/1.1815316

URL : https://hal.archives-ouvertes.fr/hal-00477278

S. M. Cho, P. Lee, C. I. Lang, D. Sugiarto, C. A. Chen et al., Porogen precursors for ULK (K<2.2) PECVD dielectricsDual-Damascene: Overcoming Process Issues". Semiconductor InternationalReactive ion etching mechanism of copper film in chlorine-based electron cyclotron resonance plasma, Advanced Metalization Conference Proceeding. 2006. 42. R. DeJule Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, pp.36-50, 1997.

B. J. Howard and C. Steinbruchel, Reactive Ion Etching of Copper in Sicl4-Based Plasmas". Applied Physics Letters, pp.59-914, 1991.

G. C. Schwartz and P. M. Schaible, Reactive Ion Etching of Copper Films, Journal of The Electrochemical Society, vol.130, issue.8, pp.1777-1779, 1983.
DOI : 10.1149/1.2120092

M. Chow, Method of forming fine conductive lines, patterns and connectors". 1987: United States. 47. E. PargonAnalyse des mécanismes mis en jeu lors de l'élaboration par gravure plasma de structures de dimensions déca-nanométriques : application au transistor CMOS ultime

J. W. Coburn and H. F. Winters, Ion??? and electron???assisted gas???surface chemistry???An important effect in plasma etching, Journal of Applied Physics, vol.50, issue.5, pp.3189-3196, 1979.
DOI : 10.1063/1.326355

J. W. Coburn and H. F. Winters, Plasma etching???A discussion of mechanisms, Journal of Vacuum Science and Technology, vol.16, issue.2, pp.391-403, 1979.
DOI : 10.1116/1.569958

J. M. Harper, M. Manos, and D. L. Flamm, Ion beam etching -in Plasma etching -An Introduction Academci press. 51. P. SigmundTheory of Sputtering. I. Sputtering Yield of Amorphous and Polycrystalline Targets, p.383, 1969.

T. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.17, issue.3, pp.17-741, 1999.
DOI : 10.1116/1.581643

N. R. Rueger, J. J. Beulens, M. Schaepkens, M. F. Doemling, J. M. Mirza et al., Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor". Journal of Vacuum Science & Technology a- Vacuum Surfaces and Films, pp.1881-1889, 1997.

N. Posseme, T. Chevolleau, O. Joubert, and L. Vallier, Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO[sub 2] in fluorocarbon based plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2432-2440, 2003.
DOI : 10.1116/1.1627337

D. Eon, V. Raballand, G. Cartry, M. C. Peignon-fernandez, and C. Cardinaud, Etching of low-k materials in high density fluorocarbon plasma, The European Physical Journal Applied Physics, vol.28, issue.3, pp.331-337, 2004.
DOI : 10.1051/epjap:2004195

J. P. Simko, G. S. Oehrlein, and T. M. Mayer, Removal of Fluorocarbon Residues on CF[sub 4]???H[sub 2] Reactive-Ion-Etched Silicon Surfaces Using a Hydrogen Plasma, Journal of The Electrochemical Society, vol.138, issue.1, pp.277-284, 1991.
DOI : 10.1149/1.2085555

T. Tatsumi, K. Urata, K. Nagahata, T. Saitoh, Y. Nogami et al., Quantitative control of etching reactions on various SiOCH materials, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.23, issue.4, 2005.
DOI : 10.1116/1.1861938

H. Nagai, Y. Maeda, M. Hiramatsu, M. Hori, and T. Goto, Effects of Oxygen and Nitrogen Atoms on SiOCH Film Etching in Ultrahigh-Frequency Plasma, Japanese Journal of Applied Physics Part 2-Letters, pp.42-326, 2003.
DOI : 10.1143/JJAP.42.L326

A. Sankaran and M. J. Kushner, Fluorocarbon plasma etching and profile evolution of porous low-dielectric-constant silica". Applied Physics Letters, pp.82-1824, 2003.

D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.5, pp.1923-1928, 2002.
DOI : 10.1116/1.1502699

S. J. Wang, I. S. Jin, and H. H. Park, X-ray photoelectron spectroscopic analysis on plasmaetched SiO2 aerogel with CHF3 gas, 1998.
DOI : 10.1016/s0257-8972(97)00588-4

S. J. Wang, H. H. Park, G. Y. Yeom, and S. H. Hyun, The effects of surface terminal bonds and microstructure of SiO2 aerogel films on dry etching, Applied Surface Science, vol.169, issue.170, 2001.
DOI : 10.1016/S0169-4332(00)00703-0

I. Reid, V. Krastev, and G. Hughes, Suppression of carbon depletion from carbon-doped low-k dielectric layers during fluorocarbon based plasma etching". Microelectronic Engineering, pp.11-12, 2006.

T. Standaert, E. A. Joseph, G. S. Oehrlein, A. Jain, W. N. Gill et al., Etching of xerogel in high-density fluorocarbon plasmas". Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films, pp.18-2742, 2000.

V. V. Smirnov, A. V. Stengach, K. G. Gaynullin, V. A. Pavlovsky, S. Rauf et al., A molecular dynamics model for the interaction of energetic ions with SiOCH low-kappa dielectric, Journal of Applied Physics, vol.101, issue.5, 2007.

R. Dagostino, F. Fracassi, and C. Pacifico, Dry etching of Ti in chlorine containing feeds, Journal of Applied Physics, vol.72, issue.9, pp.4351-4357, 1992.
DOI : 10.1063/1.352199

M. Darnon, Les Procédés par Plasmas Impliqués dans l'Intégration des Matériaux SiOCH Poreux pour les Interconnexions en Microélectronique, Thèse de Doctorat de l, 2007.

M. Darnon, T. Chevolleau, O. Joubert, S. Maitrejean, C. Barbe et al., Undulation of sub-100 nm porous dielectric structures: A mechanical analysis Applied Physics Letters(19) p. 194103. 69. Y. YinBEOL Pattern Flop Over as a Challenge to Shrink Feature Critical Dimension Continuously, AVS 55th International Symposium, p.91, 2007.

J. Ducote, Soutenance prévue en, Thèse de Doctorat de l, 2010.

K. H. Bogart, F. P. Klemens, M. V. Malyshev, J. I. Colonell, V. M. Donnelly et al., Mask charging and profile evolution during chlorine plasma etching of silicon, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.18, issue.1, pp.197-206, 2000.
DOI : 10.1116/1.582157

K. P. Giapis, G. S. Hwang, and O. Joubert, The role of mask charging in profile evolution and gate oxide degradation, 27th International Conference on Micro-and Nano-Engineering, 2001.
DOI : 10.1016/S0167-9317(02)00459-8

URL : https://hal.archives-ouvertes.fr/hal-00494490

D. Fuard, O. Joubert, L. Vallier, M. Assous, P. Berruyer et al., Etch mechanisms of low dielectric constant polymers in high density plasmas: Impact of charging effects on profile distortion during the etching process, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.6, pp.19-2223, 2001.
DOI : 10.1116/1.1420492

Z. Tökei, Y. L. Li, and G. P. Beyer, Reliability challenges for copper low-k dielectrics and copper diffusion barriers". Microelectronics and Reliability, pp.9-11, 2005.

D. Briggs and M. P. Seah, Practical surface analysis by Auger and X-Ray Photoelectron Spectroscopy John Wiley and Sons edition. 1983. 76. V. JousseaumeCu/ULK (k=2.0) integration for 45nm node and below using an improved hybrid material with conventional BEOL processing and a late porogen removal, Interconnect Technology Conference, pp.60-62, 2005.

E. Soda, S. Kondo, S. Saito, Y. Ichihashi, A. Sato et al., Low-damage low-k etching with an environmentally friendly CF3I Plasma". 2008: A V S Amer Inst Physics. 78. F. BaillyLimites imposées par les procédés de gravure plasma lors de la réalisation d'une structure double damascène : rugosité en fond de ligne des diélectriques poreux et intégration des barrières auto-positionnées, Thèse de doctorat de l'Université de Nantes - UFR Sciences et Techniques, 2008.
DOI : 10.1116/1.2919137

M. Darnon, T. Chevolleau, D. Eon, L. Vallier, J. Torres et al., Etching characteristics of TiN used as hard mask in dielectric etch process, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.5, pp.24-2262, 2006.
DOI : 10.1116/1.2338048

URL : https://hal.archives-ouvertes.fr/hal-00397064

L. Broussous, W. Puyrenier, D. Rebiscoul, V. Rouessac, A. Dedhia et al., Post-etch cleaning for porous low k integration: Impact of HF wet etch on "Pore-sealing" and "k recoveryCopper transport in thermal SiO2, IEEE International Intercconnect Technology Conference. Journal of the Electrochemical Society, issue.8, pp.140-2427, 1993.

L. Stolt, A. Charai, F. M. D-'heurle, P. M. Fryer, and J. M. Harper, Si and its catalytic effect on silicon oxidation at room temperature, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.9, issue.3, pp.1501-1505, 1991.
DOI : 10.1116/1.577653

A. A. Istratov and E. R. Weber, Electrical properties and recombination activity of copper, nickel and cobalt in silicon, Applied Physics A: Materials Science & Processing, pp.123-136, 1998.
DOI : 10.1007/s003390050649

Z. Tokei, M. Patz, M. Schmidt, F. Iacopi, S. Demuynck et al., Correlation between barrier integrity and TDDB performance of copper porous low-k interconnects". Microelectronic Engineering, pp.1-4, 2004.

Y. L. Hsu, Y. K. Fang, Y. T. Chiang, S. F. Chen, C. Y. Lin et al., Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection, Journal of The Electrochemical Society, vol.153, issue.8, pp.153-782, 2006.
DOI : 10.1149/1.2209588

C. D. Hartfield, E. T. Ogawa, P. Young-joon, C. Tz-cheng, and G. Honglin, Interface Reliability Assessments for Copper/Low-k Products, Device and Materials Reliability, pp.129-141
DOI : 10.1109/TDMR.2004.831990

E. T. Ogawa, K. D. Lee, H. Matsuhashi, P. S. Ho, V. A. Blaschke et al., Reliability and early failure in Cu/oxide dual-damascene interconnects" 2nd Symposium on Materials and Processes for Submicron Technologies, Effect of barrier layers on the texture and microstructure of copper films" Symposium on Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics held at the 2003 MRS Spring Meeting, 2001.

J. Proost, T. Hirato, T. Furuhara, K. Maex, and J. P. Celis, Microtexture and electromigration-induced drift in electroplated damascene Cu, Journal of Applied Physics, vol.87, issue.6, pp.2792-2802, 2000.
DOI : 10.1063/1.372258

URL : https://lirias.kuleuven.be/bitstream/123456789/67861/1/346.pdf

C. K. Hu, L. Gignac, and R. Rosenberg, Electromigration of Cu/low dielectric constant interconnects". Microelectronics and Reliability, pp.213-231, 2006.

N. Posseme, Etude de l'integration de materiaux à très faible permittivité dielectrique dans une structure Damascene pour les filières technologiques CMOS, Thèse de Doctorat de l, 2005.

G. Beyer, A. Satta, J. Schuhmacher, K. Maex, W. Besling et al., Development of sub-10-nm atomic layer deposition barriers for Cu, Microelectronic Engineering, pp.1-4, 2002.

H. Jalabert, M. Feldis, O. Fayolle, and . Joubert, Efficiency of reducing and oxidizing ash plasmas in preventing metallic barrier diffusion into porous SiOCH". Microelectronic Engineering, pp.85-1842, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00387514

S. K. Aimera, Plasma damage and pore sealing : increasingly coupled ULK integration". Future Fab International, 2004.

G. Feldis and . Passemard, Pore sealing of a porous dielectric by using a thin PECVD a-SiC : H conformal liner, Journal of the Electrochemical Society, issue.10, pp.152-156, 2005.

T. Mourier, Porous low-k pore sealing process study for 65nm and below technologies". Interconnect Technology Conference, pp.245-247, 2003.
DOI : 10.1109/iitc.2003.1219766

N. Posseme, New Ash Challenges for Porous Low-k Integration: Trade-Off Between Sidewall Film Modification and Increase in k Value, Dry Process Symposium, 2004.

C. Guedj, Influence of the diffusion barriers on the dielectric reliability of ULK/Cu advanced interconnects". Interconnect Technology Conference, pp.57-59, 2005.

N. Posseme, T. Chevolleau, T. David, M. Darnon, O. Louveau et al., Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.6, pp.25-1928, 2007.
DOI : 10.1116/1.2804615

URL : https://hal.archives-ouvertes.fr/hal-00397094

N. Posseme, T. David, T. Chevolleau, and O. Joubert, A Novel Low-Damage Methane-Based Plasma Ash Chemistry ( CH4 / Ar ) : Limiting Metal Barrier Diffusion into Porous Low-k Materials, Electrochemical and Solid-State Letters, vol.8, issue.5, pp.112-114, 2005.
DOI : 10.1149/1.1887199

URL : https://hal.archives-ouvertes.fr/hal-00397037

A. Roule, Copper metallization of advanced interconnects : strategies for beyond 65nm, MRS-Proceedings of the Advanced Metallization Conference, p.341, 2005.

L. Chen, ECD seed layer for inlaid copper metallization, Proceedings of the international Electrochemical Society, p.122, 1999.

H. Chaabouni, Soutenance prévue en, Thèse de Doctorat de l, 2010.

C. S. Hau-riege, An introduction to Cu electromigration". Microelectronics Reliability, pp.195-205, 2004.
DOI : 10.1016/j.microrel.2003.10.020

A. Blech, The failure of thin aluminium current carrying stripes on oxidized silicon". Physics of Failure in Electronics, pp.496-505, 1967.

J. Paraszczak, D. Edelstein, S. Cohen, E. Babich, and J. Hummel, High performance dielectrics and processes for ULSI interconnection technologies" Electron Devices Meeting, IEDM '93. Technical Digest., International, 1993.
DOI : 10.1109/iedm.1993.347356

J. R. Lloyds, Electromigration in copper conductors". Thin solid films, pp.135-141, 1995.

P. Besser, A. Marathe, L. Zhao, M. Herrick, C. Capasso et al., Optimizing the electromigration performance of copper interconnects, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), 2000.
DOI : 10.1109/IEDM.2000.904272

C. S. Hau-riege and C. V. Thompson, The effects of microstructural transitions at width transitions on interconnect reliability, Journal of Applied Physics, vol.87, issue.12, pp.8467-8472, 2000.
DOI : 10.1063/1.373565

L. Arnaud, T. Berger, and G. Reimbold, Evidence of grain-boundary versus interface diffusion in electromigration experiments in copper damascene interconnects, Journal of Applied Physics, vol.93, issue.1, pp.192-204, 2003.
DOI : 10.1063/1.1527711

J. R. Lloyd, J. Clemens, and R. Snede, Copper metallization reliability". Microelectronics Reliability, pp.1595-1602, 1999.
DOI : 10.1016/s0026-2714(99)00177-8

E. T. Ogawa, L. Ki-don, V. A. Blaschke, and P. S. Ho, Electromigration reliability issues in dual-damascene Cu interconnections, IEEE Transactions on Reliability, vol.51, issue.4, pp.403-419, 2002.
DOI : 10.1109/TR.2002.804737

N. D. Mccusker, H. S. Gamble, and B. M. Armstrong, Surface electromigration in copper interconnects". Microelectronics Reliability, pp.69-76, 2000.

O. Gourhant, Élaboration et caractérisation de matériaux à très faible constante diélectrique de type a-SiOCH élaborés par PECVD : application aux interconnexions des circuits intégrés, Thèse de Doctorat de l, 2008.

S. Min, J. Park, K. Song, M. Y. Jin, C. Lee et al., Characteristics of Low- k Nanoporous Poly(methylsilsequioxane) Thin Films, Molecular Crystals and Liquid Crystals, vol.377, issue.1, pp.193-196, 2002.
DOI : 10.1080/713738484

L. Favennec, V. Jousseaume, V. Rouessac, F. Fusalba, J. Durand et al., Porous extreme low [kappa] (EL[kappa]) dielectrics using a PECVD porogen approach, Materials Science in Semiconductor Processing, vol.7, pp.4-6, 2004.
DOI : 10.1016/j.mssp.2004.09.084

H. C. Kim, J. K. Lee, and J. W. Shon, Analytic model for a dual frequency capacitive discharge". Physics of Plasmas, pp.10-4545, 2003.

M. A. Lieberman, Nanoelectronics ans plasma processing -The next 15 years and beyond, 2006.

G. Hollinger and P. Pertosa, Spectroscopie d'électrons". Surfaces, Interfaces et films minces, 1990.

J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of X-ray Photoelectrons Spectroscopy, 1992.

T. M. Duc, Analyse de surface par ESCA, Principe et instrumentation

T. M. Duc, Analyse de surface par ESCA, Analyse élémentaire et applications

D. Eon, Gravure et traitement pas plasma de matériaux organosiliciés SiOC(H) pour des applications en lithographie avancée et comme isolant d'interconnexion en microélectronique, Thèse de Doctorat de l'Université de Nantes, 2004.

M. Darnon, T. Chevolleau, D. Eon, R. Bouyssou, B. Pelissier et al., Patterning of narrow porous SiOCH trenches using a TiN hard mask". Microelectronic Engineering, pp.85-2226, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00387506

E. Pargon and O. Joubert, Characterization of resist-trimming processes by quasi in situ x-ray photoelectron spectroscopy, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.4, pp.1869-1879, 2004.
DOI : 10.1116/1.1767038

URL : https://hal.archives-ouvertes.fr/hal-00384173

P. Czuprynski and O. Joubert, X-ray photoelectron spectroscopy analyses of silicon dioxide contact holes etched in a magnetically enhanced reactive ion etching reactor, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.3, pp.1051-1058, 1998.
DOI : 10.1116/1.590008

M. Darnon, Les Procédés par Plasmas Impliqués dans l

C. Cardinaud, A. Rhounna, G. Turban, and B. Grolleau, XPS Analysis of Si and SiO2 Surfaces Exposed to CHF3 and CHF3-C2F6 Plasmas -Polymerization and Etching". Revue De Physique Appliquee, pp.24-309, 1989.

C. Cardinaud and G. Turban, Mechanistic studies of the initial stages of etching of Si and SiO2 in a CHF3 plasma, Applied Surface Science, vol.45, issue.2, pp.109-120, 1990.
DOI : 10.1016/0169-4332(90)90061-4

P. J. Matsuo, T. Standaert, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, Characterization of Al, Cu, and TiN surface cleaning following a low-K dielectric etch, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.4, pp.1435-1447, 1999.
DOI : 10.1116/1.590772

M. Matsuoka, S. Isotani, J. C. Mittani, J. F. Chubaci, K. Ogata et al., Effects of arrival rate and gas pressure on the chemical bonding and composition in titanium nitride films prepared on Si(100) substrates by ion beam and vapor deposition, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.23, issue.1, pp.137-141, 2005.
DOI : 10.1116/1.1839895

P. Prieto and R. E. Kirby, X-Ray Photoelectron-Spectroscopy Study of the Difference between Reactively Evaporated and Direct Sputter-Deposited Tin Films and Their Oxidation Properties". Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films, pp.13-2819, 1995.

N. Posseme, Étude de l'intégration des matériaux à très faible permittivité diélectrique dans une structure damascène pour les filières technologiques CMOS, Thèse de Doctorat de l, 2005.

N. C. Saha and H. G. Tompkins, Titanium nitride oxidation chemistry: An x???ray photoelectron spectroscopy study, Journal of Applied Physics, vol.72, issue.7, pp.72-3072, 1992.
DOI : 10.1063/1.351465

J. Min, G. Lee, J. Lee, C. Kim, and S. Moon, Improvement of SiO2 pattern profiles etched in CF4and SF6 plasmas by using a Faraday cage and neutral beams, Surface and Coatings Technology, vol.193, pp.1-3, 2005.

J. Gardette, Caractérisation des polymères par spectrométrie optique

V. P. Tolstoy, I. V. Chernyshova, and V. A. Skryshevsky, Handbook of Infrared Spectroscopy of Ultrathin Films, 2003.
DOI : 10.1002/047123432X

N. Rochat, M. Olivier, A. Chabli, F. Conne, G. Lefeuvre et al., Multiple internal reflection infrared spectroscopy using two-prism coupling geometry: A convenient way for quantitative study of organic contamination on silicon wafers, Applied Physics Letters, vol.77, issue.14, pp.77-2249, 2000.
DOI : 10.1063/1.1314885

N. Rochat, A. Troussier, A. Hoang, and F. Vinet, Multiple internal reflection spectroscopy for quantitative infrared analysis of thin-film surface coating for biological environment, Materials Science and Engineering: C, vol.23, issue.1-2, 2003.
DOI : 10.1016/S0928-4931(02)00239-4

A. Goullet, C. Vallee, A. Granier, and G. Turban, Optical spectroscopic analyses of OH incorporation into SiO[sub 2] films deposited from O[sub 2]/tetraethoxysilane plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.18, issue.5, pp.2452-2458, 2000.
DOI : 10.1116/1.1287152

K. M. Davis and M. Tomozawa, An infrared spectroscopic study of water-related species in silica glasses, Journal of Non-Crystalline Solids, vol.201, issue.3, pp.177-198, 1996.
DOI : 10.1016/0022-3093(95)00631-1

Q. T. Le, M. R. Baklanov, E. Kesters, A. Azioune, H. Struyf et al., Removal of Plasma-Modified Low-k Layer Using Dilute HF: Influence of Concentration, Electrochemical and Solid-State Letters, vol.8, issue.7, pp.21-24, 2005.
DOI : 10.1149/1.1928234

W. Y. Leong, C. F. Tsang, H. Y. Li, V. Bliznetsov, L. Y. Wong et al., Effects of plasma treatments on structural and electrical properties of methyl-doped silicon oxide low dielectric constant film". Thin Solid Films, pp.496-402, 2006.

C. Defranoux, J. P. Piel, F. Bernoux, P. Boher, J. L. Stehlé et al., Ellipsométrie -Instrumentation et applications

M. R. Baklanov and K. P. Mogilnikov, Non-destructive characterisation of porous low-k dielectric films, Microelectronic Engineering, vol.64, issue.1-4, 2002.
DOI : 10.1016/S0167-9317(02)00807-9

L. Licitra, F. Bertin, M. Darnon, T. Chevolleau, C. Guedj et al., Evaluation of ellipsometric porosimetry for in-line characteri-zation of ultra low -k dielectrics, 2007.

P. Revol, D. Perret, F. Bertin, F. Fusalba, V. Rouessac et al., Porosimetry Measurements on Low Dielectric Constant???Thin Layers by Coupling Spectroscopic Ellipsometry and Solvent Adsorption-Desorption, Journal of Porous Materials, vol.70, issue.11, pp.113-121, 2005.
DOI : 10.1007/s10934-005-6768-9

URL : https://hal.archives-ouvertes.fr/hal-00077994

W. Puyrenier, V. Rouessac, L. Broussous, D. , and A. Ayral, Effect of plasma treatments on a porous low-k material ??? Study of pore sealing, Microporous and Mesoporous Materials, pp.1-3, 2007.
DOI : 10.1016/j.micromeso.2007.02.009

L. Licitra, R. Bouyssou, T. Chevolleau, and F. Bertin, Ellipsometric Porosimetry: a Powerful Technique for the Characterization of Plasma-Treated Porous SiOCH Films, Journal of Electrochemical Society, 2009.

M. R. Baklanov, K. P. Mogilnikov, and Q. T. Le, Quantification of processing damage in porous low dielectric constant films". Microelectronic Engineering, pp.11-12, 2006.

M. R. Baklanov, K. P. Mogilnikov, V. G. Polovinkin, and F. N. Dultsev, Determination of pore size distribution in thin films by ellipsometric porosimetry, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.3, pp.1385-1391, 2000.
DOI : 10.1116/1.591390

D. Shamiryan, M. R. Baklanov, and K. Maex, Diffusion barrier integrity evaluation by ellipsometric porosimetry, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.1, pp.220-226, 2003.
DOI : 10.1116/1.1539067

S. Soulan, Développement de la scatterométrie dynamique pour le suivi en temps réel de procédés. Application à la microélectronique, Thèse de Doctorat de l, 2008.

P. Dhez, Caractérisation des surfaces et des matériaux stratifiés par rayons X

D. Rebiscoul, A. Van-der-lee, P. Frugier, A. Ayral, and S. Gin, X-ray reflectometry characterization of SON 68 glass alteration films, Journal of Non-Crystalline Solids, vol.325, issue.1-3, pp.1-3, 2003.
DOI : 10.1016/S0022-3093(03)00321-1

URL : https://hal.archives-ouvertes.fr/hal-00451624

A. Van-der-lee, Grazing incidence specular reflectivity: theory, experiment, and applications". Solid State Sciences, pp.257-278, 2000.

C. Wyon, J. P. Gonchond, D. Delille, A. Michallet, J. C. Royer et al., Xray metrology for advanced silicon processes, 2006.

J. Daillant and A. Gibaud, X-ray and Neutron Reflectivity : Principles and Applications, S.B. Heidelberg, vol.770, 1999.
DOI : 10.1007/978-3-540-88588-7

J. I. Goldstein, C. E. Lyman, D. E. Newbury, E. Lifshin, P. Echlin et al., Scanning Electron Microscopy and X-Ray Microanalysis, 2003.
DOI : 10.1007/978-1-4613-0491-3

URL : https://repozitorij.uni-lj.si/Dokument.php?id=39719

L. Gressus, Microscopie électronique à balayage

B. Jouffrey and M. Karlik, Étude des métaux par microscopie électronique en transmission (MET) -Microscope, échantillons et diffraction, 2008.

B. Jouffrey and M. Karlik, Étude des métaux par microscopie électronique en transmission (MET) -Analyse chimique locale, 2008.

E. Kondoh, T. Asano, A. Nakashima, and M. Komatu, Effect of oxygen plasma exposure of porous spin-on-glass films, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.3, pp.1276-1280, 2000.
DOI : 10.1116/1.591374

S. Hwang, G. Lee, J. Min, and S. H. Moon, Effect of ion energy and incident angle on the modification of a porous low dielectric-constant material in an O2 plasma ashing process, Surface and Coatings Technology, vol.174, issue.175, pp.174-175, 2003.
DOI : 10.1016/S0257-8972(03)00561-9

K. Yonekura, S. Sakamori, K. Goto, M. Matsuura, N. Fujiwara et al., Investigation of ash damage to ultralow-k inorganic materials, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.2, pp.22-548, 2004.
DOI : 10.1116/1.1651111

O. Louveau, C. Bourlot, A. Marfoure, I. Kalinovski, J. Su et al., Dry ashing process evaluation for porous ULK films, Microelectronic Engineering, pp.73-74, 2004.
DOI : 10.1016/S0167-9317(04)00124-8

S. T. Chen, G. S. Chen, T. J. Yang, T. C. Chang, and W. H. Yang, The Synergistic Effect of N[sub 2]/H[sub 2] Gases in the Plasma Passivation of Siloxane-Based Low-k Polymer Films, Electrochemical and Solid-State Letters, vol.6, issue.1, pp.4-7, 2003.
DOI : 10.1149/1.1525550

T. C. Chang, Y. S. Mor, P. T. Liu, T. M. Tsai, C. W. Chen et al., The effect of ammonia plasma treatment on low-k methyl-hybrido-silsesquioxane against photoresist stripping damage". Thin Solid Films, pp.398-399, 2001.

N. Posseme, T. David, P. Meininger, O. Louveau, T. Chevolleau et al., Impact of downstream ash plasmas on ultra low-k materials, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00397053

N. Posseme, Étude de l'intégration des matériaux à très faible permittivité diélectrique dans une structure damascène pour les filières technologiques CMOS, Thèse de Doctorat de l, 2005.

M. R. Baklanov, E. Kondoh, R. A. Donaton, S. Vanhaelemeersch, and K. Maex, Limitation of HFbased chemistry for deep-submicron contact hole cleaning on silicides, Journal of the Electrochemical Society, issue.9, pp.145-3240, 1998.

O. Louveau, C. Bourlot, A. Marfoure, I. Kalinovski, J. Su et al., Dry ashing process evaluation for porous ULK films, Microelectronic Engineering, vol.73, issue.74, 2004.
DOI : 10.1016/S0167-9317(04)00124-8

Y. F. Cheng, S. J. Yu, C. K. Chen, and Y. M. Huang, Method for removing polymer as etching residues, 2007.

M. Aimadeddine, V. Arnal, A. Farcy, C. Guedj, T. Chevolleau et al., Impact of patterning and ashing on electrical properties and reliability of interconnects in a porous SiOCH ultra low-k dielectric material, Microelectronic Engineering, vol.82, issue.3-4, 2005.
DOI : 10.1016/j.mee.2005.07.015

R. Kumar, T. K. Wong, B. R. Murthy, Y. H. Wang, and N. Balasubramanian, Effects of Plasma Treatments on Ultralow-k Dielectric Film and Ta Barrier Properties in Cu Damascene Processing, Journal of The Electrochemical Society, vol.153, issue.5, pp.153-420, 2006.
DOI : 10.1149/1.2180707

S. Ito, Y. Homma, E. Sasaki, S. I. Uchimura, and H. Morishima, Application of Surface Reformed Thick Spin-on-Glass to MOS Device Planarization, Journal of The Electrochemical Society, vol.137, issue.4, pp.1212-1218, 1990.
DOI : 10.1149/1.2086634

T. C. Chang, P. T. Liu, Y. J. Mei, Y. S. Mor, T. H. Perng et al., Effects of H[sub 2] plasma treatment on low dielectric constant methylsilsesquioxane, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.5, 1999.
DOI : 10.1116/1.590912

M. A. Worsley, S. F. Bent, S. M. Gates, N. C. Fuller, W. Volksen et al., Effect of plasma interactions with low-?? films as a function of porosity, plasma chemistry, and temperature, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.2, pp.395-405, 2005.
DOI : 10.1116/1.1861038

R. Clergereaux, M. Calafat, F. Benitez, D. Escaich, I. Savin-de-larclause et al., Comparison between continuous and microwave oxygen plasma post-treatment on organosilicon plasma deposited layers: Effects on structure and properties, Thin Solid Films, vol.515, issue.7-8, pp.515-522, 2007.
DOI : 10.1016/j.tsf.2006.10.076

P. Lazzeri, G. J. Stueber, G. S. Oehrlein, R. Mcgowan, E. Busch et al., Time of flight secondary ion mass spectroscopy investigation of ultralow-k dielectric modifications in hydrogen and deuterium plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.6, pp.24-2695, 2006.
DOI : 10.1116/1.2382949

A. Zenasni, B. Remiat, C. Waldfiied, C. Le-cornec, V. Jousseaume et al., Thermal furnace and Ultraviolet assisted curing impact on SiOCH spin-on ultra low dielectric constant materials". Thin Solid Films, pp.516-1097, 2008.

S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima et al., Plasma damage mechanisms for low-k porous SiOCH films due to radiation, radicals, and ions in the plasma etching process, Journal of Applied Physics, vol.103, issue.7, p.5, 2008.
DOI : 10.1063/1.2891787

C. H. Huang, H. L. Huang, C. I. Hung, N. F. Wang, Y. H. Wang et al., Bond structure in porous SiOCH low-k film fabricated by ultraviolet irradiation, Japanese Journal of Applied Physics, issue.3, pp.47-1532, 2008.

Y. Shioya, T. Ohdaira, R. Suzuki, Y. Seino, and K. Omote, Effect of UV anneal on plasma CVD low-k film, Journal of Non-Crystalline Solids, vol.354, issue.26, pp.354-2973, 2008.
DOI : 10.1016/j.jnoncrysol.2007.12.011

H. Chaabouni, L. L. Chapelon, M. Aimadeddine, J. Vitiello, A. Farcy et al., Sidewall restoration of porous ultra low-k dielectrics for sub-45 nm technology nodes, 2007.

M. Fukasawa, T. Tatsumi, K. Shima, K. Nagahata, S. Uchida et al., Surface reactions during low-k etching using, 2008.

A. Grill, V. Sternhagen, D. Neumayer, and V. Patel, Hydrogen plasma effects on ultralow-k porous SiCOH dielectrics, Journal of Applied Physics, vol.98, issue.7, pp.98-105, 2005.
DOI : 10.1063/1.2060935

X. F. Hua, M. S. Kuo, G. S. Oehrlein, P. Lazzeri, E. Iacob et al., Damage of ultralow k materials during photoresist mask stripping process, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.3, pp.24-1238, 2006.
DOI : 10.1116/1.2194947

K. Ishikawa, Y. Yamaoka, M. Nakamura, Y. Yamazaki, S. Yamasaki et al., Surface reactions during etching of organic low-k films by plasmas of N2 and H2, Journal of Applied Physics, vol.99, issue.8, pp.99-105, 2006.
DOI : 10.1063/1.2191567

E. A. Joseph, S. P. Sant, M. J. Goeckner, L. J. Overzet, H. G. Peng et al., Effects of pore morphology on the diffusive properties of a porous low-kappa dielectric, Journal of Vacuum Science & Technology B, issue.5, pp.25-1684, 2007.

P. Lazzeri, G. S. Oehrlein, G. J. Stueber, R. Mcgowan, E. Busch et al., Interactions of photoresist stripping plasmas with nanoporous organo-silicate ultra low dielectric constant dielectrics, Thin Solid Films, vol.516, issue.11, 2008.
DOI : 10.1016/j.tsf.2007.08.043

G. J. Stueber, G. S. Oehrlein, P. Lazzeri, M. Bersani, M. Anderle et al., On the photoresist stripping and damage of ultralow k dielectric materials using remote H[sub 2]- and D[sub 2]-based discharges, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.5, pp.25-1593, 2007.
DOI : 10.1116/1.2769360

Y. H. Wang, R. Kumar, X. Zhou, J. S. Pan, and J. W. Chai, Effect of oxygen plasma treatment on low dielectric constant carbon-doped silicon oxide thin films". Thin Solid Films, pp.473-132, 2005.

S. L. Xu, C. Qin, L. Diao, D. Gilbert, L. Hou et al., Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.1, pp.156-163, 2007.
DOI : 10.1116/1.2431349

S. Ogawa, H. Seki, Y. Otsuka, S. Nakao, Y. Takigawa et al., Micro Beam IR Characterization of Narrow Width (-100 nm) Low-k Spaces Between Cu Lines Correlated with Valence EELS Evaluation, 2008 International Interconnect Technology Conference, 2008.
DOI : 10.1109/IITC.2008.4546930

R. Bouyssou, N. Posseme, T. David, and T. Chevolleau, Procédé pour empêcher la formation de résidus sur une couche à base d'un métal après exposition de cette couche à un plasma contenant du fluor, 2008.

N. Posseme, In situ post etch treatment as solution to improve defect density for porous Low-k integration using metallic hard mask". International Interconnect Technology Conference, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00399803

T. Chevolleau, M. Darnon, T. David, N. Posseme, J. Torres et al., Analyses of chamber wall coatings during the patterning of ultralow-k materials with a metal hard mask: Consequences on cleaning strategies, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.3, pp.25-886, 2007.
DOI : 10.1116/1.2738482

Y. L. Li, I. Ciofi, L. Carbonell, N. Heylen, J. Van-aelst et al., Influence of absorbed water components on SiOCH low-k reliability, Journal of Applied Physics, vol.104, issue.3, p.6, 2008.
DOI : 10.1063/1.2966578

. Doptea, Available from: www.dotapea.com

L. Broussous, Post-Etch Cleaning Chemistries Evaluation for Low-k Cu Integration". Solid State Phenomena, pp.263-266, 2003.
DOI : 10.4028/www.scientific.net/ssp.92.263

C. H. Tsai and Y. C. Wu, Purge process after dry etching, 2007.

A. Takase, Method for manufacturing semiconductor device, 2008.

C. K. Kim, Origin of low dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapour deposition, Journal of Applied Physics, issue.5, pp.90-2469, 2001.

T. G. Goplen, D. G. Cameron, and R. N. Jones, The Control of Errors in Infrared Spectrophotometry. VI. The Evaluation of Optical Constants by Combined Transmission and Attenuated Total Reflection Measurements, Applied Spectroscopy, vol.34, issue.6, pp.34-652, 1980.
DOI : 10.1366/0003702804731050

K. E. Peiponen, Multiply subtractive Kramers-Kronig relations for impedance function of concrete". Cement and Concrete Research, pp.1435-1437, 2005.