A. E. Allen, E. R. Hemesath, D. E. Perea, J. Lensch-falk, and Z. Y. Li, High-resolution detection of Au catalyst atoms in Si nanowires, Nature Nanotechnology, vol.85, issue.3, pp.168-173, 2008.
DOI : 10.1038/nnano.2008.5

A. M. Kayes, M. A. Filler, M. C. Putnam, M. D. Kelzenberg, N. S. Lewis et al., Growth of vertically aligned Si wire arrays over large areas (>1cm2) with Au and Cu catalysts, Growth of vertically aligned Si wire arrays over large areas (> 1 cm) with Au and Cu catalysts, p.103110, 2007.
DOI : 10.1063/1.2779236

E. L. Putnam, J. M. Warren, R. M. Spurgeon, N. S. Briggs, H. A. Lewis et al., Enhanced absorption and carrier collection in Si wire arrays for photovoltaic applications, Nature Materials, vol.9, issue.3, pp.239-244, 2010.

:. J. Ayala, C. Méndez, M. Lopez, and . Vallejo, Thermal analysis and modeling of embedded processors, Computers & Electrical Engineering, vol.36, issue.1, pp.142-154, 2010.
DOI : 10.1016/j.compeleceng.2009.07.001

A. Bajolet, J. C. Giraudin, C. Rossato, and L. Pinzelli, Three-dimensional 35 nF/mm/sup 2/ MIM capacitors integrated in BiCMOS technology, Proceedings of 35th European Solid-State Device Research Conference, 2005. ESSDERC 2005., p.121, 2005.
DOI : 10.1109/ESSDER.2005.1546600

R. Bajolet, G. Clerc, E. Pananakakis, and . Picollet, Modeling and optimization of series resistance of planar MIM capacitors, Solid State Elec, pp.50-1244, 2006.

. ]. Bajolet07, S. Bajolet, M. Bruyere, L. Proust, G. Montès et al., Impact of TiN Plasma Post-Treatment on Alumina Electron Trapping, IEEE Transactions on Device and Materials Reliability, vol.7, issue.2, pp.242-251, 2007.
DOI : 10.1109/TDMR.2007.901084

P. Banerjee, I. Perez, L. Henn-lecordier, S. B. Lee, and G. W. Rubloff, Nanotubular metal???insulator???metal capacitor arrays for energy storage, Nature Nanotechnology, vol.25, issue.5, pp.292-296, 2009.
DOI : 10.1038/nnano.2009.37

:. T. Baron, M. Gordon, F. Dhalluin, C. Ternon, P. Ferret et al., Si nanowire growth and characterization using a microelectronics-compatible catalyst: PtSi, Applied Physics Letters, vol.89, issue.23, pp.89-233111, 2006.
DOI : 10.1063/1.2402118

URL : https://hal.archives-ouvertes.fr/hal-00394743

P. Batude, M. Vinet, A. Pouydebasque, C. Le-royer, B. Previtali et al., GeOI and SOI 3D Monolithic Cell integrations for High Density Applications, Dig. Of tech, 2009.

:. S. Bécu, Etude des non-linéarités de permittivité de diélectriques utilisés en microélectronique Application aux capacités MIM, Thèse de l'Université d'Aix-Marseille I, Bernardini, Modélisation des structures Metal-Oxyde-Semiconducteur, 2006.

:. M. Björk, H. Schmid, J. Knoch, H. Riel, and W. Riess, Donor deactivation in silicon nanostructures, Nature Nanotechnology, vol.41, issue.2, pp.103-107, 2009.
DOI : 10.1038/nnano.2008.400

:. C. Black, K. W. Guarini, Y. Zhang, H. Kim, and J. Benedict, High-Capacity, Self-Assembled Metal???Oxide???Semiconductor Decoupling Capacitors, IEEE Electron Device Letters, vol.25, issue.9, pp.25-622, 2004.
DOI : 10.1109/LED.2004.834637

T. Ernst, S. Barraud, K. Tachi, C. Vizioz, T. Magis et al., Ultra-dense silicon nanowires: A technology, transport and interfaces challenges insight, Microelec, Appl. Phys. Lett, pp.1198-1202, 2000.

Z. Y. Fan, X. G. Wen, S. H. Yang, and J. Lu, Controlled p- and n-type doping of Fe2O3 nanobelt field effect transistors, Applied Physics Letters, vol.87, issue.1, p.13113, 2005.
DOI : 10.1063/1.1977203

D. Balasubramanian and . Kwong, Vertically Stacked SiGe Nanowire Array Channel CMOS Transistors, IEEE Electron Device Letters, vol.28, pp.3-211, 2007.

A. Farcy, J. F. Carpentier, M. Thomas, and P. Ancey, Integration of high-performance RF passive modules (MIM capacitors and inductors) in advanced BEOL, Microelectronic Engineering, vol.85, issue.10, p.85, 2008.
DOI : 10.1016/j.mee.2008.03.017

G. Gaillardon, M. Ben-jamaa, M. Reyboz, G. B. Beneventi, F. Clermidy et al., Phase-change-memory-based storage elements for configurable logic, 2010 International Conference on Field-Programmable Technology, 2010.
DOI : 10.1109/FPT.2010.5681535

P. Gaillardon, M. H. Ben-jamaa, P. Morel, J. Noël, F. Clermidy et al., Can We Go Towards True 3-D Architectures?, WACI session, 48th Design Automation Conference (DAC), 2011.

]. C. Garnett09, Y. C. Garnett, D. R. Tseng, J. Khannal, J. Wu et al., Dopant profiling and surface analysis of silicon nanowires using capacitance???voltage measurements, Nature Nanotechnology, vol.13, issue.5, pp.311-314, 2009.
DOI : 10.1038/nnano.2009.43

:. G. Gay, T. Baron, C. Agraffeil, B. Salhi, T. Chevolleau et al., CMOS compatible strategy based on selective atomic layer deposition of a hard mask for transferring block copolymer lithography patterns, Nanotechnology, vol.21, issue.43, pp.43-435301, 2010.
DOI : 10.1088/0957-4484/21/43/435301

URL : https://hal.archives-ouvertes.fr/hal-00944905

[. Gerritsen, N. Emonet, C. Caillat, and N. Jourdan, Evolution of materials technology for stacked-capacitors in 65 nm embedded-DRAM, Solid-State Electronics 49, pp.1767-1775, 2005.

G. Goldberger, A. Hochbaum, R. Fan, and P. Yang, Silicon Vertically Integrated Nanowire Field Effect Transistors, Nano Letters, vol.6, issue.5, pp.973-977, 2006.
DOI : 10.1021/nl060166j

V. Wang, S. Schmidt, U. Senz, and . Gösele, Epitaxial growth of silicon nanowires using an aluminium catalyst, Nature Nanotechnology, vol.10, issue.3, pp.186-189, 2006.
DOI : 10.1038/nnano.2006.133

S. Gunawan and . Guha, Characteristics of vapor???liquid???solid grown silicon nanowire solar cells, Solar Energy Materials and Solar Cells, vol.93, issue.8, pp.1388-1393, 2009.
DOI : 10.1016/j.solmat.2009.02.024

:. Ham, J. Lee, K. Moon, J. Choi, and J. Myoung, Nanowires, The Journal of Physical Chemistry C, vol.113, issue.19, pp.8143-8146, 2009.
DOI : 10.1021/jp901630f

:. J. Harper, A. Charai, L. Solt, F. M. Heurle, and P. M. Fryer, Room-temperature oxidation of silicon catalysed by, Appl. Phys. Lett, pp.56-2519, 1990.

]. H. Klootjwijk08, K. B. Klootjwijk, W. Jinesh, J. F. Dekkers, and . Verhoeven, Ultrahigh Capacitance Density for Multiple ALD-Grown MIM Capacitor Stacks in 3-D Silicon, MIM in 3D: Dream or reality?, Microelectronic Engineering 88, pp.740-742, 2008.
DOI : 10.1109/LED.2008.923205

J. Kolodzey, D. Jiao, J. He, K. Radhakrishan, and C. Dai, Electrical conduction and dielectric breakdown in aluminium oxide insulator on silicon, Silicon Porosification : State of the Art, pp.121-153, 2000.

A. Lecestre, E. Dubois, A. Villaret, T. Skotnicki, P. Coronel et al., Confined VLS growth and structural characterization of silicon nanoribbons, Microelectronic Engineering, vol.87, issue.5-8, pp.1522-1526, 2010.
DOI : 10.1016/j.mee.2009.11.053

URL : https://hal.archives-ouvertes.fr/hal-00549560

:. J. Lensh-falk, E. R. Hemesath, D. E. Perea, and I. J. Lauhon, Alternative catalysts for VSS growth of silicon and germanium nanowires, Journal of Materials Chemistry, vol.411, issue.7, pp.849-857, 2009.
DOI : 10.1002/adma.200800440

:. C. Leroux and D. Blachier, Light emission microscopy for reliability studies, Microelectronic Engineering, vol.49, issue.1-2, pp.169-180, 1999.
DOI : 10.1016/S0167-9317(99)00437-2

:. C. Leroux, Automatic statistical full quantum analysis of C-V and I-V characteristics for advanced MOS gate stacks, Microelectronic Engineering, vol.84, issue.9-10, pp.2408-2411, 2007.
DOI : 10.1016/j.mee.2007.04.026

URL : https://hal.archives-ouvertes.fr/hal-00393151

. Gailhanou, Growth characteristics of silicon nanowires synthesized by vaporliquid-solid growth in nanoporous alumina templates, Europ. Photo. Sol. E. Conf. J. of Crystal Growth, vol.254, pp.14-22, 2003.

L. Li and P. W. Bohn, Metal-assisted chemical etching in HF/H 2 O 2 produces porous silicon, Appl. Phys. Lett, pp.77-2572, 2000.

:. L. Liao, Z. Zhang, B. Yan, Z. Zheng, Q. L. Bao et al., Multifunctional CuO nanowire devices: p-type field effect transistors and CO gas sensors, Nanotechnology, vol.20, issue.8, p.85203, 2009.
DOI : 10.1088/0957-4484/20/8/085203

M. Morales and C. M. Lieber, A Laser Ablation Method for the Synthesis of Crystalline Semiconductor Nanowires, Science, vol.279, issue.5348, pp.208-211, 1998.
DOI : 10.1126/science.279.5348.208

:. Y. Cui, Q. Wei, H. Park, and C. M. Lieber, Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species, Science, vol.293, issue.5533, pp.1289-1292, 2001.
DOI : 10.1126/science.1062711

:. Z. Zhong, D. Wang, Y. Cui, M. W. Bockrath, and C. M. Lieber, Nanowire Crossbar Arrays as Address Decoders for Integrated Nanosystems, Science, vol.302, issue.5649, pp.1377-1379, 2003.
DOI : 10.1126/science.1090899

:. B. Tian, X. Zheng, T. J. Kempa, Y. Fang, N. Yu et al., Coaxial silicon nanowires as solar cells and nanoelectronic power sources, Coaxial silicon nanowires as solar cells and nanoelectronic power sources, pp.885-889, 2007.
DOI : 10.1038/nature06181

L. Lin, Y. Tzeng, C. Wang, N. Tai, I. N. Lin et al., Nanowire and Its Field Emission Property, Lissorgues, P. Bildstein, Filtres à capacités commutées, pp.2429-2431, 2005.
DOI : 10.1021/cm800079c

:. M. Liu, Demystifying Switched Capacitor Circuits, Chapitre 6 : Switched-Capacitor DC-DC Converters, pp.223-245, 2006.

W. Lu, H. Wu, C. M. Wu, J. P. Tanner, L. J. Chang et al., In situ Control of Atomic-Scale Si Layer with Huge Strain in the Nanoheterostructure NiSi/Si/NiSi through Point Contact Reaction, Nano Letters, vol.7, issue.8, pp.2389-2394, 2007.
DOI : 10.1021/nl071046u

]. Lugstein09, M. Lugstein, C. Steinmair, E. Henkel, and . Bertagnolli, Scalable Approach for Vertical Device Integration of Epitaxial Nanowires, Nano Letters, vol.9, issue.5, pp.5-1830, 2009.
DOI : 10.1021/nl803776a

M. Martensson, M. Borgstrom, W. Seifert, B. J. Ohlsson, and L. Samuelson, Fabrication of individually seeded nanowire arrays by vapour???liquid???solid growth, Nanotechnology, vol.14, issue.12, 2003.
DOI : 10.1088/0957-4484/14/12/004

M. Meijer, I. Pineda-de-gyvez, and R. Otten, On-chipe digital power supply control for systemon-chip applications, Proc. IEEE ISLPED'05, pp.311-315, 2005.

:. V. Melnik, D. Wolanski, E. Bugiel, A. Goryachko, S. Chernjaski et al., Influence of N2/H2 plasma treatment on chemical vapor deposited TiN multilayer structures for advanced CMOS technologies, Materials Science and Engineering: B, vol.102, issue.1-3, p.358, 2003.
DOI : 10.1016/S0921-5107(02)00619-0

[. J. Morton, G. Nieberg, S. Bai, and S. Y. Chou, Wafer-scale patterning of sub-40 nm diameter and high aspect ratio (>50:1) silicon pillar arrays by nanoimprint and etching, Nanotechnology, vol.19, issue.34, p.345306, 2008.
DOI : 10.1088/0957-4484/19/34/345301

Y. Motoyama, T. Fukunaka, Y. H. Sakka, and . Ogata, Initial stages of electrodeposition of metal nanowires in nanporous templates, Electrochem. Act, pp.53-205, 2007.

:. Q. Ngo, A. M. Cassell, V. Radmilovic, J. Li, S. Krishnan et al., Palladium catalyzed formation of carbon nanofibers by plasma enhanced chemical vapor deposition, Carbon, vol.45, issue.2, pp.424-428, 2007.
DOI : 10.1016/j.carbon.2006.08.019

:. R. Ng, T. Wang, F. Liu, X. Zuo, J. He et al., Vertically Stacked Silicon Nanowire Transistors Fabricated by Inductive Plasma Etching and Stress-Limited Oxidation, IEEE Electron Device Letters, vol.30, issue.5, pp.5-520, 2009.
DOI : 10.1109/LED.2009.2014975

. A. Samuelson04-]:-k, K. Dick, M. W. Deppert, T. Larsson, W. Maartensson et al., Synthesis of branched 'nanotrees' by controlled seeding of multiple branching events, Nature Materials, vol.3, issue.6, pp.380-384, 2004.

S. Schmidt, V. Wittemann, J. V. Senz, S. Go¨sele, and U. , Silicon Nanowires: A Review on Aspects of their Growth and their Electrical Properties, Advanced Materials, vol.420, issue.3, p.2681, 2009.
DOI : 10.1002/adma.200803754

S. Schmidt, U. Senz, and . Gosele, Diameter-Dependent Growth Direction of Epitaxial Silicon Nanowires, Nano Letters, vol.5, issue.5, pp.931-935, 2005.
DOI : 10.1021/nl050462g

S. Schmidt, H. Riel, S. Senz, S. Karg, W. Riess et al., Realization of a Silicon Nanowire Vertical Surround-Gate Field-Effect Transistor, Silicon Nanowires: A review on Aspects of their Growth and their Electrical Properties, pp.85-88, 2006.
DOI : 10.1002/smll.200500181

S. L. Schmitt, M. J. Bierman, D. Schmeisser, F. J. Himpsel, and S. Jin, Synthesis and Properties of Single-Crystal FeSi Nanowires, Nano Letters, vol.6, issue.8, pp.1617-1621, 2006.
DOI : 10.1021/nl060550g

A. L. Schmitt, L. Zhu, D. Schmeier, F. J. Himpsel, and S. Jin, Metallic Single-Crystal CoSi Nanowires via Chemical Vapor Deposition of Single-Source Precursor, The Journal of Physical Chemistry B, vol.110, issue.37, pp.18142-18146, 2006.
DOI : 10.1021/jp064646a

S. Schubert, L. Werner, P. Zakharov, N. D. Gerth, G. Kolb et al., Silicon nanowhiskers grown on ???111???Si substrates by molecular-beam epitaxy, Applied Physics Letters, vol.84, issue.24, p.4968, 2004.
DOI : 10.1063/1.1762701

K. S. Seo, P. Varadwaj, S. Mohanty, Y. Lee, M. Jo et al., Magnetic Properties of Single-Crystalline CoSi Nanowires, Nano Letters, vol.7, issue.5, pp.1240-1245, 2007.
DOI : 10.1021/nl070113h

:. K. Seo, K. S. Varadwaj, D. Cha, J. In, J. Kim et al., Nanowires, The Journal of Physical Chemistry C, vol.111, issue.26, pp.9072-9076, 2000.
DOI : 10.1021/jp071707b

URL : https://hal.archives-ouvertes.fr/hal-00112196

]. Shibuya10, A. Shibuya, K. Ouchi, and . Takemura, A Silicon Interposer With an Integrated SrTiO 3 Thin Film Decoupling Capacitor and Through-Silicon Vias, Trans. On Comp, 2010.

. A. Sivakov09-]:-v, R. Sivakov, F. Scholz, F. Syrowatka, U. Falk et al., Silicon nanowire oxidation: the influence of sidewall structure and gold distribution, Nanotechnology, vol.20, issue.40, p.405607, 2009.
DOI : 10.1088/0957-4484/20/40/405607

C. Song, A. L. Schmitt, S. Jin, and N. Lett, Realization of Vertical and Zigzag Single Crystalline Silicon Nanowire Architectures, J. Phys. Chem. C Appl. Phys. Lett, vol.114, issue.7, pp.3798-3803, 2007.

]. R. Szczech07, A. L. Szczech, M. J. Schmitt, S. Bierman, and . Jin, Single-Crystal Semiconducting Chromium Disilicide Nanowires Synthesized via Chemical Vapor Transport, Chemistry of Materials, vol.19, issue.13, p.3238, 2007.
DOI : 10.1021/cm0707307

:. L. Wan, W. Gong, K. W. Jiang, H. L. Li, B. R. Tao et al., Selective formation of silicon nanowires on pre-patterned substrates, Applied Surface Science, vol.255, issue.6, pp.3752-3758, 2009.
DOI : 10.1016/j.apsusc.2008.10.025

V. Wang, S. Schmidt, U. Senz, and . Gosele, Epitaxial growth of silicon nanowires using an aluminium catalyst, Nature Nanotechnology, vol.10, issue.3, pp.186-189, 2006.
DOI : 10.1038/nnano.2006.133

:. X. Wang, K. L. Pey, W. K. Choi, C. K. Ho, E. Fitzgerald et al., Arrayed Si???SiGe Nanowire and Heterostructure Formations via Au-Assisted Wet Chemical Etching Method, Silicon-Nanowire Transistors with Intruded Nickel-Silicide Contacts, pp.37-40, 2006.
DOI : 10.1149/1.3093036

T. Xu, J. P. Nys, A. Addad, O. I. Lebedev, A. Urbieta et al., Faceted sidewalls of silicon nanowires: Au-induced structural reconstructions and electronic properties, Physical Review B, vol.81, issue.11, p.115403, 2010.
DOI : 10.1103/PhysRevB.81.115403

URL : https://hal.archives-ouvertes.fr/hal-00549070

:. P. Yang, R. Yan, and M. Fardy, Semiconductor Nanowire: What???s Next?, Nano Letters, vol.10, issue.5, pp.1529-1536, 2010.
DOI : 10.1021/nl100665r

R. Q. Zhang, Y. Lifshitz, D. Ma, Y. L. Zhao, . Th et al., Structures and energetics of hydrogen-terminated silicon nanowire surfaces, The Journal of Chemical Physics, vol.123, issue.14, p.144703, 2005.
DOI : 10.1063/1.2047555

:. Z. Zhong, D. Wang, Y. Cui, M. W. Bockrath, and C. M. Lieber, Nanowire Crossbar Arrays as Address Decoders for Integrated Nanosystems, Science, vol.302, issue.5649, pp.1377-1379, 2003.
DOI : 10.1126/science.1090899

:. S. Zhou, X. Liu, Y. Lin, and D. Wang, Nanonets, Angewandte Chemie International Edition, vol.57, issue.40, pp.7681-7684, 2008.
DOI : 10.1002/anie.200802744

M. Russell, U. Steinhart, and . Gosele, Ordered Arrays of <100>-Oriented Silicon Nanorods by CMOS- Compatible Block Copolymer Lithography, Nano Lett, vol.7, issue.6, pp.1516-1520, 2007.

P. H. Morel, T. Baron, B. Salem, M. Fayolle-lecocq, P. Gentile et al., Silicon nanowire integration in a SiO2 template made by conventional lithography and etching process, GDR Nanofils, 2009.

T. Fayolle-lecocq and . Ernst, Study and comparison of silicon nanostructures for MOS devices : CVD vs Epitaxy, MRS Fall Meeting, 2010.

P. Gaillardon, M. H. Ben-jamaa, P. Morel, J. Noël, F. Clermidy et al., Can we go towards true 3-D architectures?, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.5-10
DOI : 10.1145/2024724.2024790

M. Salem, T. Fayolle-lecocq, and . Ernst, Study of CVD nanowire high-k metal interface quality for interconnect level MOS devices, Microelectronic Engineering, vol.88, pp.1228-1233, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00641302

P. Morel and T. Ernst, Dispositif microélectronique à niveaux métalliques d'interconnexion connectés par des vias programmables